AKILLI ANTEN SĠSTEMLERĠ ĠÇĠN ĠġARET GELĠġ AÇISI

advertisement
AKILLI ANTEN SĠSTEMLERĠ ĠÇĠN
ĠġARET GELĠġ AÇISI KESTĠRĠM YÖNTEMLERĠ
Tuna ORUL
YÜKSEK LĠSANS TEZĠ
ELEKTRĠK ELEKTRONĠK MÜHENDĠSLĠĞĠ
GAZĠ ÜNĠVERSĠTESĠ
FEN BĠLĠMLERĠ ENSTĠTÜSÜ
HAZĠRAN 2012
ANKARA
Tuna ORUL tarafından hazırlanan “AKILLI ANTEN SİSTEMLERİ İÇİN
İŞARET GELİŞ AÇISI KESTİRİM YÖNTEMLERİ” adlı bu tezin Yüksek Lisans
tezi olarak uygun olduğunu onaylarım.
Doç. Dr. Erkan AFACAN
………………………..........…
Tez Danışmanı, Elektrik Elektronik Mühendisliği Anabilim Dalı
Bu çalışma, jürimiz tarafından oy birliği ile Elektrik Elektronik Mühendisliği
Anabilim Dalında Yüksek Lisans tezi olarak kabul edilmiştir.
Prof. Dr. Erdem YAZGAN
………………………..........….
Elektrik-Elektronik Mühendisliği Anabilim Dalı, Hacettepe Üniversitesi
Doç. Dr. Erkan AFACAN
………………….........……….
Elektrik-Elektronik Mühendisliği Anabilim Dalı, Gazi Üniversitesi
Doç. Dr. Timur AYDEMİR
…………………….........…….
Elektrik-Elektronik Mühendisliği Anabilim Dalı, Gazi Üniversitesi
Tarih:
......../….…/……
Bu tez ile G.Ü. Fen Bilimleri Enstitüsü Yönetim Kurulu Yüksek Lisans
derecesini onamıştır.
Prof. Dr. Bilal TOKLU
Fen Bilimleri Enstitüsü Müdürü
……………………………….
TEZ BĠLDĠRĠMĠ
Tez içindeki bütün bilgilerin etik davranış ve akademik kurallar çerçevesinde
elde edilerek sunulduğunu, ayrıca tez yazım kurallarına uygun olarak
hazırlanan bu çalışmada bana ait olmayan her türlü ifade ve bilginin
kaynağına eksiksiz atıf yapıldığını bildiririm.
Tuna ORUL
iv
AKILLI ANTEN SĠSTEMLERĠ ĠÇĠN
ĠġARET GELĠġ AÇISI KESTĠRĠM YÖNTEMLERĠ
(Yüksek Lisans Tezi)
Tuna ORUL
GAZĠ ÜNĠVERSĠTESĠ
FEN BĠLĠMLERĠ ENSTĠTÜSÜ
Haziran 2012
ÖZET
Sinyal kaynaklarının tespiti, radar sistemleri, konum bulma sistemleri,
elektronik harp sistemleri gibi askeri uygulamalarda büyük önem
taĢımaktadır. Günlük hayatta, sinyal kaynağı kestirim sistemleri,
örneğin, mobil iletiĢim sistemlerinde, maden rezervlerinin tespit
edilmesinde,
kaçak
radyo
yayınlarının
bulunmasında,
kaybolan
dağcıların yerinin tespit edilmesinde kullanılmaktadır.
Ġlk ortaya çıktığı dönemlerde yalnızca tek bir sinyal kaynağının
konumunu belirleyen sistemler günümüzde alt uzay tekniklerinin
geliĢmesi ile aynı anda birçok sinyal kaynağının konumunu tespit eder
hale gelmiĢtir. MUSIC ve ESPRIT algoritmaları en çok bilinen ve
kullanılan sinyal kaynağı kestirim yöntemlerinden ikisidir. Bu çalıĢmada
söz
konusu
iki
tekniğin
performanslarının
karĢılaĢtırılması
amaçlanmıĢtır.
Bu çalıĢmada ilk olarak düzgün doğrusal anten dizisi üzerine gelen
sinyallerin MUSIC algoritması kullanılarak kestirimi yapılmıĢtır. Daha
sonra, aynı yapıda anten dizisi kullanılarak ESPRIT algoritması ile
kestirim yapılmıĢtır. Elde edilen veriler göz önünde bulundurularak bu
iki algoritmanın iĢaret geliĢ açısı kestirim performansları incelenmiĢtir.
v
Elde edilen sonuçlar MUSIC algoritmasının düĢük sinyal gürültü
oranlarında daha kesin ve doğru sonuçlar verdiğini, yüksek sinyal
gürültü
oranlarında
ESPRIT
Algoritması
ile
yapılan
kestirim
sonuçlarının daha doğru olduğunu göstermektedir. Getirdiği iĢlem
kolaylığı nedeni ile kapasiteye olan ihtiyacın azalması, dolayısı ile
azalan maliyet ESPRIT algoritmasının avantajıdır.
Bilim Kodu
: 905
Anahtar Kelimeler : Yön Bulma, Doğrusal anten dizisi, DOA, MUSIC
Algoritması, ESPRIT Algoritması, Altuzay Yöntemi.
Sayfa Adedi
: 80
Tez Yöneticisi
: Doç. Dr. Erkan AFACAN
vi
DIRECTION OF ARRIVAL ESTIMATION METHODS FOR SMART
ANTENNA SYSTEMS
(M.Sc. Thesis)
Tuna ORUL
GAZĠ UNIVERSITY
INSTITUTE OF SCIENCE AND TECHNOLOGY
June 2012
ABSTRACT
Determination of signal sources has been an important problem in
military applications such as radar systems, location finding systems
and electronic warfare systems. In daily life, signal source estimation
systems are used, for example, in mobile communication systems, for
the determination of mine reservations, for the detection of illegal radio
broadcasting and for finding the lost mountaineers.
When the systems first appeared, they could determine the position of
only one signal source. Nowadays, with the development of subspace
techniques, it has been possible to determine the positions of multiple
signal sources. MUSIC and ESPRIT algorithms are two of the most
widely known and used signal source estimation techniques. This
study aims the comparison of the performances of these two
techniques.
In this study, the signals coming toward uniform linear antenna arrays
are first estimated using MUSIC algorithm. Then, estimation is
performed with ESPRIT algorithm for the same antenna structure.
Estimation performances of the two techniques related to signal arrival
angle are compared with each other.
vii
The obtained results show that MUSIC algorithm gives more accurate
and definite results for moderately low signal to noise ratios, whereas
ESPRIT algorithm gives accurate results for moderately high signal to
noise ratios. On the other hand, ESPRIT algorithm provides ease of
calculation, decrease in capacity and cost.
Science Code
Key Words
Page Number
Adviser
: 905
: Direction Finding, DOA, MUSIC Method, ESPRIT
Method, Linear antenna array, Subspace method
: 80
: Assoc. Dr. Erkan AFACAN
viii
TEġEKKÜR
Çalışmalarım boyunca değerli yardım ve katkılarıyla beni yönlendiren Hocam
Doç. Dr. Erkan AFACAN‟a yüksek lisans eğitimim süresince beni maddi
açıdan destekleyen TÜBİTAK Bilim İnsanı Destekleme Dairesi‟ne, maddi ve
manevi destekleriyle beni hiçbir zaman yalnız bırakmayan Anne ve Babama
teşekkürü bir borç bilirim.
ix
ĠÇĠNDEKĠLER
Sayfa
TEZ BİLDİRİMİ............................................................................................... V
ABSTRACT ................................................................................................... Vİ
TEŞEKKÜR................................................................................................. Vİİİ
ŞEKİLLERİN LİSTESİ ................................................................................... Xİ
ÇİZELGELERİN LİSTESİ ............................................................................ XİV
SİMGELER VE KISALTMALAR ................................................................... XV
1.
GİRİŞ ...................................................................................................... 1
2.
MAXWELL DENKLEMLERİ .................................................................... 4
3.
2.1.
Maxwell Denklemleri Ve Özellikleri ............................................................. 4
2.2.
Maxwell Denklemlerinin İntegral Formda Gösterimi...................... 5
TEMEL ANTEN PARAMETRELERİ ....................................................... 8
3.1.
Işıma Örüntüsü...................................................................................................... 8
3.1.1. Alan Bölgeleri ........................................................................................... 8
3.1.2. İzotropik, Yön Bağımlı ve Yön Bağımsız Antenler .............. 11
3.2.
Işıma Güç Yoğunluğu ..................................................................................... 12
3.2.1. Kapalı Bir Yüzeyden Geçen Toplan Güç Yoğunluğu ....... ..12
3.2.2. İzotropik Antenlerde Güç Yoğunluğu ......................................... 13
4.
3.3.
Işıma Şiddeti........................................................................................................ 14
3.4.
Yönelticilik ............................................................................................................. 14
3.5.
Anten Kazancı .................................................................................................... 15
ANTEN DİZİLERİ .................................................................................. 16
4.1.
Doğrusal Anten Dizileri ................................................................................... 16
4.1.1. 2-Elemanlı Anten Dizisi .................................................................... 17
4.1.2. N-Elemanlı Doğrusal Dizi ................................................................ 19
x
4.2.
Düzlemsel Anten Dizileri................................................................................ 22
4.3.
Dizi Geometrisi ve Dizi Elemanlarının Dizilişi ...................................... 23
4.4.
Dizi Faktörü .......................................................................................................... 24
4.4.1. Eleman Sayısının Dizi Faktörü Üzerine Etkisi ....................... 24
4.4.2. Elemanlar Arasındaki Açıklığın Dizi Faktörüne Etkisi........ 25
5.
AKILLI ANTENLER ............................................................................... 28
5.1.
Akıllı Anten Çeşitleri......................................................................................... 30
5.1.1. Uyarlamalı Anten Dizileri ................................................................. 32
5.1.2. Anahtarlamalı Anten Dizileri .......................................................... 34
5.2.
Akıllı Antenlerin Yapısı ................................................................................... 35
5.2.1. Akıllı Anten Alıcıları ............................................................................ 37
5.2.2. Akıllı Anten Vericileri ......................................................................... 39
5.3.
Akıllı Anten Sistemlerinin Değerlendirilmesi ........................................ 41
5.3.1. Akıllı Anten Sistemlerinin Faydaları ........................................... 41
5.3.2. Akıllı Anten Sistemlerinin Sakıncaları ....................................... 42
6.
GELİŞ AÇISI KESTİRİM YÖNTEMLERİ ............................................... 43
6.1.
MUSIC Algoritması ........................................................................................... 45
6.1.1. Veri Modeli ............................................................................................. 45
6.1.2. MATLAB Simülasyonu...................................................................... 48
6.2.
ESPRIT Algoritması ......................................................................................... 61
6.2.1. Dizi Geometrisi ..................................................................................... 62
6.2.2. Veri Modeli ............................................................................................. 62
6.2.3. TLS ESPRIT Algoritması ................................................................. 65
6.2.4. ESPRIT Simülasyonu ....................................................................... 69
7.
SONUÇ VE ÖNERİLER ........................................................................ 77
KAYNAKLAR ............................................................................................... 78
ÖZGEÇMİŞ .................................................................................................. 80
xi
ġEKĠLLERĠN LĠSTESĠ
ġekil
Sayfa
Şekil 3.1. Işıma örüntüsü için koordinat sistemi
8
Şekil 3.2. Alan bölgeleri
9
Şekil 3.3. a) Yön bağımlı antenin ışıma örüntüsü b) Yön bağımsız
antenin ışıma örüntüsü
12
Şekil 4.1. 2-elemanlı anten dizisi
17
Şekil 4.2. 2-elemanlı anten dizisi uzak alan gözlem noktası
19
Şekil 4.3. M=2N elemanlı doğrusal anten dizisi
21
Şekil 4.4. M=2N+1 elemanlı doğrusal anten dizisi
21
Şekil 4.5. Düzlemsel anten dizisi
22
Şekil 4.6. a) N=10, d=𝜆/4 ve ß=0 b) N=10, d= 𝜆 ve ß=0
24
Şekil 4.7. 2 (kırmızı), 5 (yeşil), 10(mavi) elemanlı doğrusal dizilerin
yönelimini gösteren grafik. (Elemanlar arasında ki açıklık
0,4 λ)
25
Şekil 4.8. Elemanlar arasındaki açıklıkları 0.2 (kırmızı), 0.3 (yeşil)
ve 0.5 (mavi) 5 elemanlı diziler için dizi faktörü
26
Şekil 4.9. Elemanlar arasındaki açıklıkları 0.5 (kırmızı), 0.75 (yeşil)
ve 1 (mavi) 5 elemanlı diziler için dizi faktörü
26
Şekil 5.1. Çoklu yol zayıflaması
28
Şekil 5.2. a)İnsanın duyu sistemi b) İki elemanlı akıllı anten sistemi
30
Şekil 5.3.
Anahtarlamalı anten dizisi ve uyarlamalı anten dizisi için
kapsama alanı deseni
31
xii
ġekil
Şekil 5.4.
Sayfa
Anahtarlamalı sistemlerin (sol) ve uyarlamalı sistemlerin
(sağ), kullanıcı sinyalleri (açık renkli çizgi) ve ortak
kanal sinyalleri (koyu renkli çizgiler) için seçmesi
gereken ışıma deseni kulakçıkları ve sıfır noktaları
31
Uyarlamalı anten dizi hüzmesi (Ana kulakçık kullanıcı
yönüne doğrultulmakta ve ortak kanal girişimci sinyalleri
“sıfır” 0 noktalarına gelmekte)
32
Şekil 5.6.
Uyarlamalı anten sistemlerinin fonksiyonel blok şeması
33
Şekil 5.7.
Anahtarlamalı anten dizilerinin hüzme yapısı
35
Şekil 5.8.
Akıllı antenlerin genel yapısı
36
Şekil 5.9.
Akıllı anten alıcısı
38
Şekil 5.5.
Şekil 5.10. Anten dizileri (a) Doğrusal anten dizisi (b) Dairesel
anten dizisi (c) 2-boyutlu anten dizisi (d) 3-boyutlu anten
dizisi
38
Şekil 5.11. Akıllı anten için verici şeması
40
Şekil 6.1.
Şekil 6.2.
Şekil 6.3.
Şekil 6.4.
Şekil 6.5.
Şekil 6.6.
Şekil 6.7.
SNR=40, M=10, D=2, Gelen işaret açıları (θ) = 20, 30
için geliş açısı kestirim spektrumu
49
SNR=40, M=15, D=2, Gelen işaret açıları (θ) = 20, 30
için geliş açısı kestirim spektrumu
50
SNR=40, M=18, D=2, Gelen işaret açıları (θ) = 20, 25
için geliş açısı kestirim spektrumu
51
SNR=40, M=25, D=2, Gelen işaret açıları (θ) = 20, 25
için geliş açısı kestirim spektrumu
51
SNR=40, M=25, D=4, Gelen işaret açıları (θ) = 20, 25,
30, 35 için geliş açısı kestirim spektrumu
52
SNR=40, M=30, D=4, Gelen işaret açıları (θ) = 20, 25,
30, 35 için geliş açısı kestirim spektrumu
53
SNR=40, M=30, D=8, Gelen işaret açıları (θ) = 5, 10,
15, 20, 25, 30, 35, 40 için geliş açısı kestirim spektrumu
54
xiii
ġekil
Şekil 6.8.
Şekil 6.9.
Sayfa
SNR=40, M=30, D=15, Gelen işaret açıları (θ) = -40,
-35, -30, -25, -20, -15, -10, -5, 5, 10, 15, 20, 25, 30, 35
için geliş açısı kestirim spektrumu
54
SNR=40, M=25, D=4, Gelen işaret açıları (θ) = 20, 30,
40, 50 için geliş açısı kestirim spektrumu
55
Şekil 6.10. SNR=40,M=25, D=8, Gelen işaret açıları (θ)= -10, 0,
10, 20, 30, 40, 50, 60 için geliş açısı kestirim spektrumu
56
Şekil 6.11. SNR=40, M=25, D=8, Gelen işaret açıları (θ)= 5, 10,15,
20, 25, 30, 35, 40 için geliş açısı kestirim spektrumu
56
Şekil 6.12. SNR=40, M=25, D=15, Gelen işaret açıları (θ)= -40, 35, -30, -25, -20, -15, -10, -5, 5, 10, 15, 20, 25, 30, 35
için geliş açısı kestirim spektrumu
57
Şekil 6.13. SNR=0, M=10, D=2, Gelen işaret açıları (θ)= 20, 30 için
geliş açısı kestirim spektrumu
58
Şekil 6.14. SNR=0, M=25, D=2, Gelen işaret açıları (θ)= 20, 30 için
geliş açısı kestirim spektrumu
59
Şekil 6.15. SNR=100, M=10, D=2, Gelen işaret açıları (θ)= 20, 30
için geliş açısı kestirim spektrumu
60
Şekil 6.16. SNR=100, M=5, D=2, Gelen işaret açıları (θ)= 20, 30
için geliş açısı kestirim spektrumu
60
Şekil 6.17. ESPRIT dizi geometrisi
63
xiv
ÇĠZELGELERĠN LĠSTESĠ
Çizelge
Sayfa
Çizelge 1.1. Maxwell Denklemlerinin noktasal ve integral şekilleri
7
Çizelge 6.1. ESPRIT Benzetim Sonuçları - İşaret Sayısının Etkisi
72
Çizelge 6.2. ESPRIT Benzetim Sonuçları - İşaret Sayısının Etkisi (2)
73
Çizelge 6.3. ESPRIT Benzetim Sonuçları - SNR Değişiminin Etkisi
75
Çizelge 6.4. ESPRIT Benzetim Sonuçları – Anten Değişiminin Etkisi
75
Çizelge 6.5. ESPRIT Benzetim Sonuçları - Örnekleme Sayısının Etkisi
76
xv
SĠMGELER VE KISALTMALAR
Bu çalışmada kullanılmış bazı simgeler ve kısaltmalar, açıklamaları ile birlikte
aşağıda sunulmuştur.
Simgeler
Açıklama
dizi faktörü
⃗
manyetik akı yoğunluğu
⃗
elektriksel akı yoğunluğu
maksimum yönelticilik
uzak bölge elektrik alan bileşenleri
⃗
(
⃗⃗⃗
manyetik alan şiddeti
) noktasındaki elektrik alan şiddeti
akım yoğunluğu
MUSIC uzaysal spektrumu
ortalama güç
giriş gücü
toplam ışınan güç
korelasyon matrisi
giriş kovaryans matrisi
anten dizisine gelen işaret vektörü
izotropik antenin ışıma yoğunluğu
maksimum ışıma şiddeti
öz vektör matrisi
̂
birim vektör
öz vektör
en küçük özdeğer
beyaz gürültü varyansı
Wav
zaman ortalamalı poynting vektörü
Wrad
ışıma yoğunluğu
Δ
anten çiftleri arasındaki mesafe
xvi
Simgeler
Açıklama
λ
dalga boyu
Λ
köşegeninde özdeğerler olan matris
Φ
ikili elemanlar arasındaki faz
gecikmelerinden oluşan birim matris
en büyük anten boyutu, gelen işaret sayısı
anten yönelticiliği
anten kazancı
Hermityen operatörü
dizi elemanı sayısı
anten sayısı
anlık toplam güç
kürenin yarıçapı
ışıma yoğunluğu
anlık poynting vektörü
DOA açısı
ışık hızı
‟ye ilişkin yöneltme vektörü
dizi elemanları arasındaki mesafe
eleman çifti
gürültü bileşeni
gelen işaret vektörü
toplam anten verimi
ağırlık vektörü
weber
anten çifti tarafından alınan işaret vektörleri
anten çiftine gelen işaret vektörü
faz farkı
ortamın yayılma sabiti
dielektrik sabiti
ortamın karakteristik empedansı
xvii
Simgeler
Açıklama
dikey açı
yük yoğunluğu
Σn
gürültü korelasyonu
Kısaltmalar
Açıklama
CDMA
Kod Bölmeli Çoklu Erişim
CSI
Kanal Durum Bilgisi
D/A
Dijitalden Analoğa (Digital to Analog)
DOA
İşaret Geliş Açısı
DSP
Sayısal Sinyal İşleme
EM
Elektromanyetik Alan
ESPRIT
Rotasyonel İnvaryans Yöntemi Yoluyla
İşaret Parametrelerinin Kestirimi
EWF
Elektronik Harp
FDM
Frekans Bölmeli Çoklama
FDMA
Frekans Bölmeli Çoklu Erişim
LS
En Küçük Kareler
ML
Maksimum Olabilirlik
MSE
Ortalama Karesel Hata
MUSIC
Çoklu Sinyal Sınıflandırma
RCVR
Temelbant frekans düşürücü
RF
Radyo Frekansı
SDMA
Uzay Bölmeli Çoklu Erişim
SIR
Sinyal Girişim Oranı
SNR
Sinyal Gürültü Oranı
TDM
Zaman Bölmeli Çoklama
TDMA
Zaman Bölmeli Çoklu Erişim
TLS
Toplam En Küçük Kareler
xviii
TLS-ESPRIT
Toplam En Küçük Kareler - Rotasyonel
İnvaryans Yöntemi Yoluyla İşaret
Parametrelerinin Kestirimi
1
1. GĠRĠġ
Günümüzde ses, veri ve video bağlantılı hizmetlerin gelişimi hızlanmaya
devam etmektedir. Dünya pazarının ihtiyacını karşılamak için büyük paralar
harcanmasına rağmen, insanların büyük çoğunluğunun hala kaliteli iletişim
olanaklarına
erişim
imkanı
bulunmamaktadır.
Servis
sağlayıcılarının
karşılaştıkları en büyük sorun “son mil” bağlantısı olarak adlandırılan, dünya
çapında ağ (worldwide network) ile kullanıcıların kişisel evleri arasındaki son
bağlantıdır. Bakır kablolar ile sağlanan bu “son mil” bağlantısı hem pahalı
hem de bant genişliği yoğun olan uygulamalar için yetersizdir [1]. Koaksiyel
kablolar ve güç hatları ile sağlanan iletişim için ise teknik olarak bazı
sınırlamalar mevcuttur. Teknik açıdan oldukça üstün, geniş bir kullanım alanı
bulan fiber kabloların ise her eve ve işyerine döşenmesi oldukça pahalıdır.
İşte bu nedenle, hızlı bir şekilde ve düşük maliyet ile esnek geniş bant
bağlantılar için gerekli ihtiyaçları karşılamak açısından kablosuz bağlantı
daha iyi bir alternatif olarak görünmektedir [1].
Mobil telefon servislerinin kullanımındaki artış, insanların kablosuz iletişim
teknolojisini kabul ettiğinin bir kanıtıdır. Dünyanın en ücra bölgelerine dahi şu
an hücresel tip kablosuz sistemler sayesinde kaliteli ses servisleri
sağlanmaktadır. Son birkaç yıl içerisinde, kablosuz iletişim üzerinden hizmet
sağlanmasına olan talep beklenenlerin üzerinde bir artış göstermiştir. Geçen
yüzyılın sonunda Amerika Birleşik Devletleri‟nde 20 milyondan fazla kullanıcı
sadece bu teknolojiden istifade etmiştir [1].
Teknik açıdan baktığımızda, bilgilerin aktarımı, kaynak olarak bir bant
genişliği ve güç gerektirir. Genel olarak, artan aktarım oranı daha fazla güç
ve bant genişliğine ihtiyaç duyar.
Uzayda oldukça kısa mesafelerde bir aktarım bile, yüksek miktarda enerji
aktarımı gerektirir; çünkü aktarılan bu enerji tüm uzaya yayılmaktadır. Yayılan
bu enerjinin oldukça küçük bir kısmı hedef kullanıcı tarafından alınmakta ve
harcanan enerjinin büyük bir kısmı sistemdeki diğer kullanıcılara girişim
2
olarak gitmektedir [1]. Kısaca özetlenecek olursa, bu sistemlerin maksimum
menzili aktarılan enerjinin miktarı ile kapasite ise mevcut bant genişliği
miktarı ile belirlenir. Mevcut güç miktarı (yasal veya pratik olarak
sınırlandırılmış) ve sınırlı bant genişliği ile operatörler kullanıcılarına yalnızca
küçük bir miktar kapasite satabilmektedirler. Burada iki temel problem ortaya
çıkmaktadır:
1. Talebin çok olduğu bölgelerde, düşük fiyat ile birlikte belli kalite seviyesinin
korunması için gerekli olan daha fazla kapasite ihtiyacının nasıl sağlanacağı,
2. Talebin çok az olduğu bölgelerde, altyapı ve bakım masraflarını düşük
tutarak geniş kapsama alanına nasıl sahip olunacağıdır.
İşte bu problemlere çözüm bulabilmek için çeşitli yöntemler geliştirilmiştir.
Bunlar; Kod Bölmeli Çoklu Erişim (CDMA), Zaman Bölmeli Çoklu Erişim
(TDMA), Frekans Bölmeli Çoklu Erişim (FDMA) ve Uzay Bölmeli Çoklu Erişim
(SDMA) yöntemleridir. SDMA aynı hücre içerisinde, aynı anda ve aynı
frekansta birden çok kullanıcıya olanak sağlamaktadır.
Bu filtreleme tekniği (SDMA) akıllı antenler vasıtası ile gerçekleştirilmektedir.
Akıllı antenler zamanı ve frekansı değiştirme yeteneğine sahip oldukları için
operatörlere önemli avantajlar getirmektedir. Bunları şu şekilde sıralayabiliriz:
 Kapasite artışı: Akıllı antenler ile gerçekleştirilen SDMA işlemi, aynı hücre
içerisinde
birçok
kullanıcının
birbirlerini
etkilemeden
aynı
frekansı
kullanmasına olanak sağlamaktadır.
 Artan kapsama alanı: Akıllı antenler sayesinde anten kazancının artması
ile ulaşılabilen mesafe de artmıştır. Bu da baz istasyonlarının daha az enerji
harcayarak daha geniş bir coğrafyaya ulaşmasına imkan sağlamıştır.
 Yüksek veri hızı kabiliyeti,
Kablosuz sistemler için kapasite önemli bir yer tutmaktadır. Akıllı Anten
Sistemlerinin kablosuz haberleşme sistemlerinin ihtiyaç duyduğu tüm
gereksinimlere karşılık verebilecek yapılar olduğu görünmektedir. Bu nedenle
3
bu tez çalışmasında Akıllı Anten Sistemleri incelenmiş ve bu yapı kullanılarak
sinyal kaynağı tespiti gerçekleştirilmiştir.
Maxwell Denklemleri hem haberleşmenin hem de elektromanyetik alanların
temelini oluşturmaktadır. Bu nedenle ilk olarak bu kavramların tam olarak
anlaşılması ve konuya temel oluşturması açısından tezin ikinci bölümünün bu
konuya ayrılması uygun görülmüştür.
Bu temel denklemlere değinildikten sonra üçüncü bölümde temel anten
parametreleri incelenmiş ve antenler ile ilgili olan temel bilgiler verilmiştir. Bu
bölümde anten yapılarının anlaşılabilmesi için gerekli olan tüm temel
parametrelerden bahsedilmiştir.
Akıllı anten sistemleri dediğimiz yapılar, aslında birden çok antenin bir araya
gelerek oluşturduğu anten dizileridir. Bu anten dizilerinden yararlanılarak,
bazı algoritmalar vasıtası ile sinyal kaynağının yönünün bulunması gibi
işlemler gerçekleştirilmektedir. Bu nedenle akıllı anten sistemlerinin temelini
oluşturan
anten
dizileri
tezin
dördüncü
bölümünde
detaylı
olarak
incelenmiştir.
Tezin beşinci bölümünde çalışmanın temelini oluşturan akıllı anten
sistemlerinden bahsedilmiş ve bu sistemlerin yapısı incelenmiştir. Bunun yanı
sıra Uyarlamalı Anten Dizileri ve Anahtarlamalı Anten Dizilerinin avantaj ve
dezavantajları verilmiştir.
Altıncı bölüm ise tez çalışmasının ana kısmını oluşturan bölümdür. Bu
bölümde doğrusal anten dizileri kullanılarak MUSIC Algoritması ve ESPRIT
Algoritması kullanılarak işaret geliş açısı kestirim benzetimi gerçekleştirilmiş
ve algoritmanın performans karşılaştırılması yapılmıştır.
4
2. MAXWELL DENKLEMLERĠ
Maxwell denklemleri elektromanyetik teorinin temelini oluşturmaktadır. Bu
denklemler zamanla değişen EM (Elektromanyetik) alanları tanımlamaktadır.
Aşağıda detayları ile gösterilmiş olan bu dört denklem sırası ile elektrik alanın
elektrik yükler tarafından oluşturulduğunu (Gauss Yasası), manyetik alanın
sebebinin manyetik yükün olmadığını, değişen elektrik alanların manyetik
alan ürettiğini (Manyetik Akının Korunumu Yasası) ve değişken manyetik
alanın da elektrik alan ürettiğini (Faraday Elektromanyetik İndüksiyon Yasası)
gösterir [2].
2.1. Maxwell Denklemleri ve Özellikleri
1) ⃗ ⃗
(2.1)
⃗
(
)
⃗
Gauss Yasası: Gauss Yasası elektrik alanın skaler kaynağının yük
yoğunluğu olduğunu ve kapalı bir yüzeydeki elektrik alanın akısının o yüzeyin
içindeki toplam yükle doğru orantılı olduğunu gösterir [2].
2) ⃗⃗⃗ ⃗
(2.2)
⃗
(
)
Manyetik Akının Korunumu Yasası: Bu yasa manyetik yükün olmadığını yani
manyetik alanın kaynağının olmadığını göstermektedir. Bu denkleme göre
herhangi bir kapalı yüzeydeki manyetik alanın akısı 0‟dır [2].
3)
⃗
⃗
⃗
(2.3)
( )
5
⃗
(
)
Faraday Elektromanyetik İndüksiyon Yasası: Bu denkleme göre elektrik
alanın vektörel kaynağı zamanla değişen manyetik akıdır. Herhangi bir kapalı
eğri üzerinde elektrik alanın dolaşımı, bu eğrinin çevrelediği yüzey üzerindeki
manyetik akının zamanla değişiminin negatifine eşittir [2].
4)
⃗
⃗
(2.4)
⃗
( )
(
)
⃗
(
⃗
)
Genelleştirilmiş Amper Yasası: Amper Yasası manyetik alanın kapalı bir
halka boyunca çizgisel integrali, o halka içinden akan net akım ile orantılıdır
şeklinde açıklanabilir [2].
2.2. Maxwell Denklemlerinin Ġntegral Formda Gösterimi
Yukarıda
gösterilen
Maxwell
Denklemleri
uzayda
bir
nokta
için
kullanılabilirler. Ancak bir alan veya bir bölge düşünüldüğünde bu
denklemlerin integral formlarını kullanmak gerekir [2]. Bunun için Stokes ve
Diverjans Teoremleri aşağıdaki gibi özetlenebilir.
Stokes Teoremi
𝑛
S
. ∮A.dl
∮x A.nds
S
C
𝑑𝑙
C
(2.5)
6
Diverjans Teoremi
𝑛
V
S
.
∮x A.dv ∮A.nds
V
(2.6)
S
Eş 2.1 ve Eş 2.2‟de “Diverjans Teoremi”ni uygularsak:
∮D.nˆ.ds ∮.dv
S
(2.7)
V
ve
∮B.nˆ.ds  0
(2.8)
S
elde edilir.
Eş 2.3 ve Eş 2.4 denklemlerine “Stokes Teoremi”ni uygularsak:
∮E.dl  
C
d
B.nˆ.ds

dt S
(2.9)
ve
∮H .dl  ( J 
C
elde edilir.
S
dD
).nˆ.ds
dt
(2.10)
7
Çizelge 1.1. Maxwell Denklemlerinin noktasal ve integral şekilleri
Diferansiyel Formda
⃗
İntegral Formda
d
⃗
∮E.dl   dt  B.nˆ.ds
C
⃗
⃗
⃗⃗⃗ ⃗
S
dD
).nˆ.ds
dt
∮D.nˆ.ds ∮.dv
S
V
∮B.nˆ.ds  0
S
Faraday Yasası
S
∮H .dl  ( J 
C
⃗ ⃗⃗
Yasa
Ampere Yasası
Elektrik Alan için
Gauss Yasası
Manyetik Alan için
Gauss Yasası
Çizelge 1.1.‟deki bu dört denklem, elektrik alanın elektrik yükler tarafından
oluşturulduğunu (Elektrik alan için Gauss Yasası), manyetik alanın
kaynağının manyetik yük olmadığını (Manyetik alan için gauss yasası), akım
yoğunluklarının ve değişken elektrik alanların manyetik alan ürettiğini
(Ampere Yasası) ve değişken manyetik alanın elektrik alan ürettiğini
(Faraday'ın İndüksiyon Yasası) göstermekte ve elektromanyetiğin temelini
oluşturmaktadır.
8
3. TEMEL ANTEN PARAMETRELERĠ
Bir antenin performansını incelemek için, çeşitli parametrelerin tanımı
önemlidir. Akıllı anten sistemlerinde yön bulma algoritmalarını incelemeden
ve
akıllı
anten
yapılarına
giriş
yapmadan
önce
antenlerin
genel
özelliklerinden bahsetmek yerinde olacaktır.
3.1. IĢıma Örüntüsü
Işıma örüntüsü antenlerin ışıma özelliklerinin uzay koordinatlarının bir
fonksiyonu olarak grafiksel gösterimine veya matematiksel ifadesine denir [3].
Işıma örüntüsü antenin uzak alan bölgesinde belirlenebilmektedir ve yönlü
koordinatların bir fonksiyonu olarak gösterilir. Koordinat sistemi Şekil 3.1‟de
gösterilmiştir.
Şekil 3.1. Işıma örüntüsü için koordinat sistemi [3]
3.1.1. Alan bölgeleri
Anteni çevreleyen uzayı reaktif yakın alan, yakın ışıma alanı (Fresnel) ve
uzak alan (Fraunhofer) olarak 3 bölgeye ayırabiliriz [3]. (Şekil 3.2) Alan
9
yapılanmasına baktığımızda, bu alanların geçişlerinde çok ani bir değişim
olmamasına rağmen, aralarında belirgin farklılıklar vardır. Bu bölgeleri ayıran
sınırlar özgün değildir, ancak çeşitli kriterler konulmuştur ve bunlar genellikle
bölgeleri tanımlamak için kullanılırlar.
Şekil 3.2. Alan bölgeleri
Reaktif Yakın Alan
Reaktif yakın alan; reaktif alanın baskın olduğu ve yakın alan bölgesinin
hemen anteni çevreleyen bölümü olarak tanımlanmaktadır. Bu alanda
yayılmadan ziyade enerji depolaması görülür ve çoğu anten için reaktif yakın
alan bölgesi şu şekilde tanımlanır:
√
⁄𝜆
(3.1)
Burada:
λ : dalgaboyu
D: antenin en büyük boyutudur.
Küçük dipoller için, bu alanın dış sınırının anten yüzeyinden uzaklığı 𝜆
olarak alınır.
10
Yakın Işıma Alanı
Reaktif yakın alan ile uzak alan bölgelerinin arasında kalan bölgedir. Burada
ışıma alan bileşenleri baskındır ve açısal alan dağılımı antene olan uzaklığa
bağlı olarak değişmektedir. Eğer anten dalga boyu ile kıyaslandığında daha
büyük olmayacak şekilde maksimum boyutlarda ise, bu bölge oluşmayabilir.
Sonsuza odaklı bir anten için, yakın ışıma alanı bazen optik terminolojinin
temeline göre Fresnel bölgesi olarak adlandırılır [3].
Formülle gösterecek olursak:
√
⁄𝜆
⁄𝜆
(3.2)
Burada:
λ : dalgaboyu
D: antenin en büyük boyutudur.
Uzak Alan
Açısal alan dağılımının antene olan uzaklıktan bağımsız olduğu bölgedir.
Eğer anten dalga boyu ile kıyaslandığında daha büyük olacak şekilde
maksimum boyutlara (D) sahip ise, uzak alan bölgesi şu şekilde tanımlanır:
⁄
(3.3)
λ : dalgaboyu
D: antenin en büyük boyutudur.
| | ile kıyaslandığında büyük olacak şekilde en
Gerçek ortamda, anten
büyük boyutlara (D) sahip ise, uzak alan bölgesinin antenden uzaklığı
yaklaşık olarak | |
olarak alınır [3]. Burada:
ortamın yayılma sabiti
olarak verilmektedir.
Sonsuza odaklanmış bir anten için, uzak alan bölgesi bazen Fraunhofer
Bölgesi olarak da adlandırılmaktadır [3].
11
3.1.2. Ġzotropik, yön bağımlı ve yön bağımsız antenler
İzotropik Anten İçin Işıma Örüntüsü
İzotropik antenler her yönde eşit ışıma yapan anten çeşitleridir. Bu
antenlerde, aynı uzaklıkta olmak kaydıyla, her yönde güç yoğunluğu eşittir.
Yön Bağımlı Anten İçin Işıma Örüntüsü
Kazanç ve yönlülük antenler ile yakından ilişkili kavramlardır. Yönelticilik
(Directivity) bir antenin güç yoğunluğunu bir veya iki farklı doğrultuda nasıl
doğrulttuğuna bağlıdır. Çünkü toplam enerji aynı kalmasına karşın, enerji
belirli bir yöne doğrultulduğunda sinyal gücü artacaktır. Sinyal gücündeki bu
artış aynı zamanda anten kazancını da arttıracaktır. Güç yoğunluğunu bu
şekilde belli bir yönde yoğunlaştırarak ışıma yapan antenlere yön bağımlı
antenler denir. Şekil 3.3.a‟da yön bağımlı bir anten örneği gösterilmiştir. Ana
kulakçık
maksimum
göstermektedir.
Yan
ışıma
yapılan
kulakçıklar
ve
veya
arka
sinyal
alınan
kulakçık
doğrultuyu
kayıp
enerjiyi
göstermektedir ve iyi bir anten dizaynında bu kulakçıkların en aza indirilmesi
gerekmektedir. Bu yön bağımlı anten A, C ve D sinyali bastırılırken B sinyali
maksimize edilmiştir [4].
Yön Bağımsız Anten (Omni Direksiyonel) İçin Işıma Örüntüsü
Yön bağımsız antenler tüm yönlere eşit miktarda ışıma yapar ve sadece
belirli bir yönde ışıma yapmaması nedeni ile yön bağımsız antenler olarak
adlandırılırlar. Şekil 3.3.b yön bağımsız bir antenin ışıma örüntüsünü
göstermektedir. Şekil 3.3.b‟de gösterilen yönlü anten ışıma örüntüsünü
incelediğimizde, örüntünün azimut düzleminde yönsüz (θ=sabit), yükselme
düzleminde yönlü olduğu görülmektedir. Yön bağımsız antenler de azimut
düzleminde
yönsüz,
yükselme
düzleminde
yönlü
antenler
olarak
tanımlanmaktadır. Buradan yön bağımsız antenlerin yön bağımlı anten
türlerinin özel bir türü olduğunu söyleyebiliriz [4].
12
Şekil 3.3. (a) Yön bağımlı antenin ışıma örüntüsü, (b) Yön bağımsız antenin ışıma örüntüsü [5]
IĢıma Güç Yoğunluğu
3.2.
Elektromanyetik dalgalar bir noktadan bir noktaya kablosuz olarak bilgi
iletmek için kullanılırlar. Doğal olarak bu dalgaların bir güç ve bir enerjiye
sahip olduğu söylenebilir.
⃗⃗⃗
⃗ ⃗
(3.4)
⃗⃗⃗
(
⃗
)
( )
⃗
3.2.1. Kapalı bir yüzeyden geçen toplam güç yoğunluğu
Madde 3.2‟de bahsedilen poynting vektörü güç yoğunluğuna eşittir. Poynting
vektörünün alan integralini aldığımızda kapalı bir yüzeyden geçen toplam
gücü bulabiliriz.
P ∬W .ds ∬W .nda
.
S
S
(3.5)
13
⃗
[⃗
]
(3.6)
⃗
[⃗
]
(3.7)
⃗⃗⃗
⃗ ⃗
[ ⃗ ⃗⃗⃗⃗ ]
⃗ ⃗
(3.8)
Zaman ortalamalı Poynting vektörü:
⃗⃗⃗
⃗⃗⃗
[ ⃗ ⃗⃗⃗⃗ ]
(3.9)
olarak bulunur.
Eş 3.9‟a göre ortalama güç yoğunluğu:
Prad  Pav ∬W rad .ds ∬W av .nda
. 
S
S


1
Re Ex H * .ds
∬
2 S
(3.10)
olarak bulunur.
3.2.2. Ġzotropik antenlerde güç yoğunluğu
İzotropik antenler her yönde eşit ışıma yapmaktadır. Bu simetrik yayılmadan
dolayı Poynting vektörü θ ve Φ‟nin bir fonksiyonu olmayacaktır ve sadece
açısal bileşene sahiptir. Toplam güç:
Prad ∬W0 .ds 
S
2 
0 0 aˆrW0  r  .[aˆr r
2
sin d dΦ]  4 r 2W0
(3.11)
olarak bulunur.
Güç yoğunluğu:
 P 
W0  aˆrW0  aˆr  rad 2 
 4 r 
şeklinde gösterilir.
(3.12)
14
Eş 3.12‟de belirtilen güç yoğunluğu r yarıçapında bir küre etrafında eşit
yoğunluğa sahip olduğunu gösterir.
3.3. IĢıma ġiddeti
Işıma
şiddeti
“birim
katı
açı
başına
antenin
ışıdığı
güç”
olarak
tanımlanmaktadır [3]. Işıma şiddeti bir uzak alan parametresidir ve ışıma
yoğunluğu ile mesafenin karesinin çarpımı ile hesaplanmaktadır.
U  r 2Wrad
(3.13)
Burada;
U = ışıma şiddeti (W/birim katı açı)
Wrad = ışıma yoğunluğudur. (W/m2)
Işıma şiddeti uzak bölge elektrik alanına da şu şekilde bağlıdır:
U  , Φ  
2
2
r2
r2 
2
E (r ,  ,  ) 
E (r , ,  )  E (r , ,  ) 


2
2 
(3.14)
̅
3.4. Yönelticilik
Bir antenin belirli bir yönde, bir noktada meydana getirdiği güç yoğunluğunun
aynı gücü yaydığı varsayılan yönsüz antenin aynı noktada meydana getirdiği
güç yoğunluğuna oranına denir [3]. Matematiksel olarak şu şekilde ifade
edilir:
D
U 4 U

U0
Prad
(3.15)
15
Maksimum yönelticilik ise şu şekilde ifade edilir:
Dmax  D0 
U max
U0
(3.16)
⁄
⁄
⁄
3.5. Anten Kazancı
Anten performansının belirlenmesi için en önemli parametrelerden bir tanesi
de kazançtır. Anten kazancı yönelticilik ile doğrudan ilgilidir ve yöneltme
kapasitesi ile olduğu kadar antenin verimliliği ile de yakından alakalıdır.
kazanç  4
ışıma yoğunluğu
U ( ,  )
U ( ,  )
 4
 4
toplam giriş gücü
Pin
Prad / v
(3.17)
Eş 3.17‟ye göre anten kazancı, anten verimi ile yönelticiliğin çarpımına eşittir.
(3.18)
Anten kazancı “dB” cinsinden de ifade edilebilir.
(3.19)
Temel anten parametreleri, özellikle anten kazancı ve yönelticilik, anten
dizilerinin ve akıllı antenlerin kavranması için oldukça önemli parametrelerdir.
16
4.
ANTEN DĠZĠLERĠ
Tek bir antenin ışıma örüntüsü incelendiğinde, hüzme açıklığının geniş
olduğu ve yönelticiliğinin az olduğu görülmektedir. Ancak uzak mesafeler ile
haberleşme gerektiren durumlarda veya radar uygulamalarında anten
gücünün istenen bir doğrultuda yoğunlaştırılması beklenmektedir. Tek bir
antenin bu ihtiyacı karşılaması ancak ve ancak anten gücünün yani sağladığı
elektriksel alan gücünün yeteri miktarda yükseltilmesi ile gerçekleştirilebilir.
Fakat bu gücü sağlayacak tek bir antenin üretilmesinin, montajının zor olması
bu güçte tek bir antenin beslemesinin pratik olarak elverişli olmaması anten
dizilerinin doğmasına neden olmuştur. Birden fazla anten belirli şekillerde
birlikte kullanılarak istenen anten gücü sağlanmıştır. Bu tip birden fazla
antenin belli bir geometrik şekilde bir araya getirilmesi ile oluşan anten
yapılarına anten dizileri denir.
Dizi elemanlarından her biri farklı yapılarda ve farklı elektriksel özelliklerde
olabilirler. Ancak işlem kolaylığı açısından her bir eleman özdeş olarak kabul
edilmektedir.
Anten dizileri 1 veya 2 boyutlu olabilirler. Bu dizilerde her bir dizi elemanı
uzayın belirli bir noktasına ışıma yapar ve dizinin o noktada yaptığı ışıma her
bir elemanın yaptığı ışımaların toplamından oluşmaktadır. Bu sayede tek bir
anten elemanının ışıma deseninden daha farklı bir ışıma deseni ortaya çıkar.
4.1. Doğrusal Anten Dizileri
Anten dizileri içerisinde en basit ve en pratik olanı bir doğru üzerine
yerleştirilmiş elemanlardan oluşan doğrusal anten dizileridir. Bu tip anten
dizilerinin en basit hali olarak Şekil 4.1‟de gösterilmiş, aynı eksen üzerine
yerleştirilmiş ve aralarında d kadar mesafe bulunan 2 adet dipol düşünülebilir.
17
4.1.1. 2-elemanlı anten dizisi
Seçilen referans noktasında dizi elemanlarının oluşturduğu elektrik alan ile
dizi faktörünün çarpımı bize o referans noktasında oluşan toplam elektrik
alanı verir.
(4.1)
Her bir dizi kendi dizi faktörüne sahiptir. Bu dizi faktörü genellikle, dizi eleman
sayısının, geometrik dizilimin, elemanlar arasındaki boşluğun, faz ve genliğin
bir fonksiyonu olarak ifade edilmektedir.
Şekil 4.1. 2-elemanlı anten dizisi [3]
2 eşdeğer dipol arasındaki mesafenin d, faz farkının β olduğunu ve elemanlar
arasında bir bağlaşım olmadığını varsayarak, dizinin Şekil 4.1‟de gösterilen P
noktasında oluşturduğu elektrik alan ifadesi:
18
(4.2)
E T  aˆ j

kl0l  e j[ kr1 (  /2)]
e j[ kr2 (  /2)]
cos


cos 2 
1

4 
r1
r2

(4.3)
olarak yazılabilir.
Gözlem noktasının uzak alanda olduğunu düşündüğümüzde Şekil 4.2‟de
gösterilen durum oluşur. Bu durumda
olarak alabiliriz.
(4.4.a)
(4.4.b)
(4.4.c)
Eş.4.3 bu ifadelere göre düzenlendiğinde;
|
|[
|
|⏟
⁄
⁄
]
(4.5.a)
(4.5.b)
elde edilir.
Eş. 4.5.b ifadesinde görüldüğü gibi Şekil 4.2‟de gösterilen dizinin P
noktasında oluşturduğu elektrik alan ifadesi, referans noktadaki yani “0”
noktasındaki bir antenin P noktasında oluşturduğu elektrik alan ifadesi ile dizi
faktörünün çarpımına eşittir.
19
Her bir dizi için diziyi oluşturan eleman sayısına, bu elemanlar arasındaki
mesafeye, dizi elemanları arasındaki faz farkına bağlı olarak dizi faktörü farklı
olacaktır.
Şekil 4.2. 2-elemanlı anten dizisi için uzak alan gözlem noktası [3]
Normalize edilmiş dizi faktörü ifadesi:
[
]
(4.6)
olarak gösterilir. Bu dizi faktörü ifadesi sadece Şekil 4.2‟de gösterilen diziye
ait dizi faktörüdür.
4.1.2. N-elemanlı doğrusal dizi
Dizi elemanı sayısı M=2N olan dizinin dizilişi Şekil 4.3‟de gösterilmiştir.
Dizide yer alan tüm elemanların özdeş olduğunu ve aralarında β kadar faz
20
farkı olduğunu varsayarsak, bu durumda +z ekseninde uzanan dizi
elemanları için elektrik alan ifadesi şu şekilde olacaktır:
ET  a1e j (1/2) kd sin  a2e j (3/2) kd sin  e j[ 2 N 1/2]kd sin
(4.7)
N
ET   an e j[ 2 n1 /2]kd sin
(4.8)
n 1
Dizinin –z ekseninde uzanan dizi elemanları için elektrik alan ifadesi ise Eş.
4.7‟de gösterilen ifadenin eşleniği olacaktır.
O halde:
N
ET   an e
 j [  2 n 1 /2] kd sin 
(4.9)
n 1
bulunur. Bu durumda Şekil 4.3.‟te gösterilen dizi için dizi faktörü ifadesi:
N
 (2n  1)

AF ( )  2 an cos 
 d sin  
 

n 1
(4.10)
şeklinde ifade edilebilir [3].
Ancak Şekil 4.4‟de gösterildiği gibi dizi elemanı sayısı M=2N+1 olan bir dizi
için dizi faktörü benzer olarak;
N 1
 (2n  1)

AF ( )  2 an cos 
 d sin  
 

n 1
ifade edilir [3].
(4.11)
21
Şekil 4.3. M=2N elemanlı doğrusal anten dizisi [3]
Şekil 4.4. M=2N+1 elemanlı doğrusal anten dizisi [3]
22
4.2. Düzlemsel Anten Dizileri
Düzlemsel anten dizileri, dizi elemanlarının bir düzlem üzerine yerleştirilmesi
ile oluşur. Şekil 4.5‟de kare düzlemsel anten dizisi gösterilmiştir. Düzlemsel
anten dizilerinin doğrusal anten dizilerine göre en önemli avantajı, ışıma
örüntüsünün uzayda (θ ve Φ açısal eksenlerinde) iki boyutlu tarama
yapabilmesidir. Yani doğrusal anten dizileri sadece kendi bulunduğu
düzlemde uzayı tarayabilirken, düzlemsel diziler bulunduğu düzlemde iki
boyutlu tarama yapabilmekte ve ışıma hüzmesini iki boyutlu olarak
yönlendirebilme imkanı sunmaktadır.
Şekil 4.5. Düzlemsel anten dizisi [3]
Düzlemsel anten dizileri için dizi faktörünün türetilmesi aşağıdaki gibi olur.
M adet dizi elemanının x ekseni boyunca eşit aralıklar ile yerleştirildiğini
düşünürsek, dizi faktörü;
M
AF  Im1e j m1kd xsinθcos β x
m 1
şeklinde yazılır [3].
(4.12)
23
Burada:
Im1 = uyarım katsayısı
dx= x ekseni üzerinde dizi elemanları arasındaki mesafe
= x ekseni üzerinde dizi elemanları arasındaki faz farkı
Yukarıda bahsedilen x ekseni üzerine eşit aralıklarla yerleştirilmiş olan
doğrusal diziyi eşit aralıklar ile y ekseni boyunca dy aralığında ve
faz farkı
ile yerleştirdiğimizde Şekil 4.5‟de yer alan diziyi elde ediyoruz. Bu durumda
dizi faktörü şu şekilde ifade edilmektedir.
N
M
j m 1 kd sinθcos β x  j n 1 kd y sinθcos β y
AF  I1n Im1e   x
e
n 1
 m1

(4.13)
Burada (m,n)‟nci elemanın genlik katsayısı şu şekilde yazılabilir.
(4.14)
Genlik katsayısını homojen olarak düşünürsek, Imn=I0 olacaktır. Bu durumda
dizi faktörü:
M
AF  I 0 e
m 1
j  m 1( kd x sin  cos    x )
N
e
j  n 1( kd y sin  cos   y )
(4.15)
n 1
olur.
Dizi faktörü ifadesi her bir anten dizisi için farklılık göstermektedir ve anten
dizilerinin karakteristik özelliklerinden bir tanesidir. Dizi faktörünü etkileyen en
önemli değişkenlerden bir tanesi dizinin geometrisi ve dizi elemanlarının
dizilişidir.
4.3. Dizi Geometrisi ve Dizi Elemanlarının DiziliĢi
Dizi faktörü terimlerine baktığımızda, bu ifadelerin elemanlar arasındaki
uzaklığa bağlı olduğu söylenebilir. Elemanlar arasındaki mesafe (d) dizi
24
faktörü için oldukça önemli bir değişkendir. Eğer elemanlar arasındaki
mesafe dalga boyunun yarısı (λ/2) değerinden daha fazla olursa anten
dizisinin performansında bir azalma olduğu gözlenir. Dizi elemanları
arasındaki mesafe λ/2 değerinden daha az olduğunda ise, dizi ışıma deseni
incelendiğinde ana kulakçığın ve yan kulakçıkların genişlediği gözlemlenir.
Elemanlar arasındaki mesafenin etkisi Şekil 4.6‟da görülmektedir. Pratik
uygulamalarda dizi elemanları arasındaki mesafe genellikle λ/2 olarak
seçilmektedir.
4.4.
Dizi Faktörü
Doğrusal Anten Dizilerini ve Düzlemsel Anten Dizilerini incelerken ifade
edilen “dizi faktörü” terimini bu bölümde ele alacak olursak; dizi faktörü, dizi
eleman sayısına, elemanlar arasındaki açıklığa, her bir elemana gelen
sinyalin genlik ve fazına bağlıdır. Eleman sayısı ve bu elemanlar arasındaki
açıklık miktarı toplam ışıma yapısının yüzey alanını yani açıklığını belirleyen
faktörlerdir. Açıklığın büyük olması yüksek kazanç sağlar [6].
(a)
(b)
Şekil 4.6. (a) N=10, d=𝜆/4 ve ß=0 (b) N=10, d= 𝜆 ve ß=0 [3]
4.4.1. Eleman sayısının dizi faktörü üzerine etkisi
Dizi yönelticiliği eleman sayısı ile doğru orantılı şekilde artmaktadır. Şekil
4.7‟de 2 (kırmızı), 5 (yeşil) ve 10 (mavi) elemanlı 3 adet farklı doğrusal dizi
gösterilmektedir. Bu grafikte elemanlar arasındaki uzaklık 0.4λ olarak
25
alınmıştır. Şekilden de görüldüğü üzere yan kulakçık sayısı ve seviyesi
eleman sayısı arttıkça artmaktadır. Burada dikkat edilmesi gereken diğer bir
husus ise 0° ve 180° de iki adet ana kulakçığın oluşmasıdır. Bu dizi faktörü
ifadesinden gelmektedir.
4.4.2. Elemanlar arasındaki açıklığın dizi faktörüne etkisi
Dizi elemanları arasındaki açıklığın dizi faktörü üzerine etkisi oldukça
fazladır. Açıklığın fazla olması dizinin yönelticiliğini arttırmakta, ancak
elemanlar arasındaki açıklığın λ/2‟den az olması dizi ışıma örüntüsünde
istenmeyen tepelerin oluşmasına neden olmaktadır [6].
Şekil 4.7. 2 (kırmızı), 5 (yeşil), 10 (mavi) elemanlı doğrusal dizilerin yönelimini gösteren
grafik. (Elemanlar arasındaki açıklık 0.4 λ)
Şekil 4.7 dizi elemanı sayısının dizinin ışıma örüntüsünü nasıl değiştirdiğini
göstermektedir. Şekil incelendiğinde, dizi elemanı sayısının artması, dizinin
yönelticiliğinin de artmasına sebep olmaktadır.
26
Şekil 4.8. Elemanlar arasındaki açıklıkları 0.2 (kırmızı), 0.3 (yeşil) ve 0.5 (mavi) olan 5
elemanlı diziler için dizi faktörü
Şekil 4.9. Elemanlar arasındaki açıklıkları 0.5 (kırmızı), 0.75 (yeşil) ve 1 (mavi) olan 5
elemanlı diziler için dizi faktörü
27
5 elemanlı bir dizi için dizi elemanları arasındaki farklı açıklıkların dizi
faktörüne etkisi Şekil 4.8, Şekil 4.9‟da gösterilmiştir. Elemanlar arasındaki
açıklığın λ değerine ulaştığı durumda dizinin yönelticiliğinin Şekil 4.8‟de
gösterilen grafiğe göre arttığı gözlenmektedir. Ancak istenmeyen tepelerin
değerinin
de
arttığı
gözlenmektedir [6].
hatta
ana
kulakçık
ile
aynı
seviyeye
çıktığı
28
5. AKILLI ANTENLER
Akıllı anten sistemleri bir bütün olarak ele alınmalıdır. Sistemi akıllı kılan en
önemli parça sayısal sinyal işleme kapasitesidir. Bu teknoloji her ne kadar
yeni bir teknoloji olarak bilinse de, aslında temelleri 1970 ve 1980‟lere
dayanmaktadır. Akıllı antenler ilk olarak askeri uygulamalarda kullanılmıştır
[4]. Özellikle, EWF (Elektronik Harp)‟de elektronik sinyal karıştırıcılara karşı
önlem olarak kullanılmışlardır. Ayrıca 2. Dünya Savaşı‟nda askeri radar
sistemlerinde de aynı teknik kullanılmıştır. Günümüzde ise düşük maliyetli
sinyal işleme işlemcileri kullanılarak akıllı anten sistemleri ticari alanlarda da
kullanılmaya başlanmıştır.
Son yıllarda gezgin haberleşme sistemlerinin giderek yaygınlaşması ve
kullanıcı sayısının yüklü miktarda artması, beraberinde kapasite ihtiyacını
arttırmış ve iyi bir servis kalitesi gereksinimi ortaya çıkarmıştır. Gezgin
haberleşme sistemlerinde kapasite ve servis kalitesini sınırlayan şartlar 3 ana
başlık altında incelenmektedir. Bunlar:

Çoklu yol zayıflaması

Yayılma gecikmesi

Komşu ve aynı kanal frekans girişimidir.
Akıllı anten sistemlerine duyulan ihtiyaç işte bu 3 ana nedenden dolayı
artmaktadır. Çünkü akıllı anten sistemleri, çoklu yol zayıflamasını (Şekil 5.1)
büyük oranda azaltabilmektedir.
Şekil 5.1. Çoklu yol zayıflaması [6]
29
Bu zayıflamadaki herhangi bir azalma sistemin performansını büyük oranda
arttırdığı için büyük bir avantaj sağlamaktadır. Diğer yandan hüzmeyi belirli
bir doğrultuda yöneltebilme özelliğinden dolayı diğer kullanıcı girişimini
engellemekte, (komşu ve yan kanal frekans girişimi) bu sayede kanal
kapasitesi ve performansını, kapsama alanını diğer sistemlere göre
arttırmaktadır. Bu avantajlarından dolayı akıllı anten sistemleri ticari alanlarda
tercih edilmeye başlanmış ve büyük önem kazanmaya başlamıştır.
Akıllı anten sistemlerini bir bütün olarak ele aldığımızda, daha iyi anlaşılması
açısından, insanların duyma sistemi ile benzetim kurulması faydalı olacaktır.
İnsanlar, karanlık bir ortamda veya gözlerini kapattığında dahi etrafında
hareket eden bir nesneyi veya canlıyı, onun çıkarttığı ses sayesinde
konumlandırabilir, gelen bir sesin mesafesini kestirebilir. Bu işlem şu 3
adımda gerçekleştirilir:

Kulaklar ses dalgalarını alır

Kulaklar arasındaki konum farkından dolayı, bu sinyaller her bir kulağa
farklı zamanda ulaşır ve zaman gecikmeli olarak ses dalgaları alınır

İnsan beyni, alınan bu zaman gecikmeli ses dalgalarını kullanarak bir
dizi işlemden sonra ses kaynağının konumunu kestirmemize yardımcı
olur.
Akıllı anten sistemlerinin nasıl çalıştığını anlayabilmek için bir örnek daha
vermek faydalı olacaktır. Şekil 5.2.a yalıtılmış bir ortamda bulunan iki
konuşmacıyı ve bir dinleyiciyi göstermektedir. Böyle bir durumda, insan beyni
yine kulaklar vasıtası ile iki farklı konuşmacıdan gelen zaman gecikmeli
olarak alınan ses dalgalarını kullanarak, bir dizi işlem yaptıktan sonra bu iki
konuşmacının
konumlarını
kestirmemize
yardımcı
olmaktadır.
Konuşmacılardan bir tanesinin istenmeyen, diğerinin ise dinleyicinin duymak
istediği konuşmacı olduğu düşünülürse; insan beyni bu iki konuşmacının
seslerini ayırt edebilmekte ve istenmeyen konuşmacının sesini bastırarak,
30
dikkatimizi duymak istenilen konuşmacıya yoğunlaştırmamıza yardımcı
olmaktadır [4].
Akıllı anten sistemleri, iki adet kulak yerine iki anten ve insan beyni yerine
işlemci kullanarak aynı şekilde çalışan sistemlerdir (Şekil 5.2.b). Yani,
antenler vasıtası ile zaman gecikmeli olarak alınan sinyaller bir işlemci
tarafından işlenerek geliş açısını kestirir, istenmeyen sinyalleri bastırır veya
istenilen doğrultuda gücü yoğunlaştırabilir.
Şekil 5.2. (a) İnsanın duyu sistemi, (b) İki elemanlı akıllı anten sistemi [4]
5.1. Akıllı Anten ÇeĢitleri
Akıllı anten sistemleri, temel olarak anahtarlamalı hüzme (switched beam) ve
uyarlamalı dizi sistemleri (adaptive-array systems) olarak iki ana grupta
incelenmektedir.
Düşük düzeyde girişimin varlığında, her iki akıllı anten çeşidi de
konvansiyonel sistemlere göre önemli kazançlar sağlamaktadır. Buna
rağmen, yüksek düzeyde bir girişim olduğunda, parazit reddetme yeteneği
sayesinde uyarlamalı sistemler, konvansiyonel sistemlere veya anahtarlamalı
hüzme sistemlerine göre önemli ölçüde daha fazla kapsama sağlamaktadır.
31
Şekil 5.3 anahtarlamalı hüzme sistemleri ve uyarlamalı anten sistemlerinin
ilgili kapsama alanlarını göstermektedir.
Akıllı anten sistemi içeren bir haberleşme istasyonuna bir adet esas sinyal ve
iki adet ortak kanal girişimi geldiği varsayılırsa, Şekil 5.4 bu senaryoya göre
her bir konfigürasyonun ışıma örüntüsünü göstermektedir.
Şekil 5.3. Anahtarlamalı anten dizisi ve uyarlamalı anten dizisi için kapsama alanı deseni [4]
Şekil 5.4. Anahtarlamalı sistemlerin (sol) ve uyarlamalı sistemlerin (sağ), kullanıcı sinyalleri
(açık renkli çizgi) ve ortak kanal sinyalleri (koyu renkli çizgiler) için seçmesi
gereken ışıma deseni kulakçıkları ve sıfır noktaları [4]
Sol tarafta gösterilmiş olan anahtarlamalı anten sistemi, sağ tarafta
gösterilmiş olan ise uyarlamalı anten sistemidir. Açık renk ile gösterilmiş olan
çizgi ilgili kullanıcı sinyalini gösterirken, koyu renkli çizgiler ortak kanal girişim
sinyallerini göstermektedir. İki yapıda ana kulakçığı çok iyi bir şekilde
kullanıcı sinyaline doğrultmuşlardır. Ancak, anahtarlamalı hüzme sistemi
daha iri bir ana kulakçık elde etmiştir. Uyarlamalı anten dizisi ise daha doğru
yerleştirme yapmıştır, böylece daha iyi bir sinyal oluşmasını sağlamıştır.
Benzer bir şekilde, ortak kanal girişim sinyalleri, ana kulakçığın dışında daha
32
düşük yoğunluklu bölgelere gelmiştir. Uyarlamalı anten dizileri, ana kullanıcı
sinyalinin maksimum düzeyde arttırılmasını sağlarken, ortak kanal girişim
sinyallerinin ise en yüksek seviyede bastırılmasını sağlamaktadırlar. Ancak
uyarlamalı anten sistemlerinin bu başarısı beraberinde daha karmaşık bir
yapı ve daha yüksek maliyet gerektirmektedir.
5.1.1. Uyarlamalı anten dizileri
Şekil 5.5 uyarlamalı anten dizi sistemleri ile ilgili olarak genel bir fikir ortaya
koymaktadır. Bu şekilde de görüldüğü üzere uyarlamalı anten sistemleri
sadece kullanıcı sinyalini ana kulakçığın maksimum olan noktasına
yerleştirmekle sınırlı kalmayıp, ortak kullanıcı girişimlerini de tamamen
bastırmaktadır. Bunun yanı sıra, tüm ışıma örüntüsünü kontrol edebilmesi
nedeni ile, çok geniş bir kapsama yayılma sağlayabilmektedir. Uyarlamalı
sistemler, sinyalleri bulabilmekte ve takip edebilmekte, sayısal sinyal işleme
kullanarak
diğer
girişimleri
bastırırken,
sinyal
alımını
arttırmaktadır.
Uyarlamalı anten sistemlerinin bir parçası olan sayısal sinyal işleme sürecinin
blok şeması Şekil 5.6‟de gösterilmektedir.
Şekil 5.5. Uyarlamalı anten dizi hüzmesi (Ana kulakçık kullanıcı yönüne doğrultulmakta ve
ortak kanal girişimci sinyalleri “sıfır” 0 noktalarına gelmekte) [4]
33
Şekil 5.6. Uyarlamalı anten sistemlerinin fonksiyonel blok şeması [4]
Fonksiyonel Blok Şemasında görüldüğü gibi dizi elemanlarından alınan
veriler DOA‟ya (İşaret Geliş Açısı) gönderilerek burada dizi elemanlarından
alınan veriler arasındaki zaman kayması hesaplanarak işaret geliş açısı
hesaplanmaktadır. Daha sonra uyarlamalı algoritma, maliyet fonksiyonunu
kullanarak, optimum ışıma örüntüsü için uygun ağırlık fonksiyonlarını
hesaplar. Uyarlamalı anten sistemleri, karmaşık sayısal sinyal işleme
yöntemleri kullandıkları için anahtarlamalı anten sistemlerine göre çok daha
maliyetli olmaktadırlar.
Uyarlamalı anten sistemleri, işaret geliş açısını kestirmek, sürekli olarak;
istenen sinyal, çoklu yol ve girişim sinyallerini birbirinden ayırt edebilmek için
sofistike sinyal işleme algoritmalarından yararlanmaktadır. (ör. MUSIC,
ESPRIT veya SAGE). 6. Bölümde MUSIC ve ESPRIT Algoritmaları daha
detaylı bir şekilde incelenmiştir.
Uyarlamalı anten sistemlerinde 2 temel yöntem belirlenmiştir. Bunlardan ilki
istenen sinyalin önceden bilinmesine dayanmaktadır. Bilinen bu sinyal daha
sonra alınan sinyal ile karşılaştırılır ve ağırlık vektörü bilinen sinyal ve alınan
34
sinyal arasındaki MSE (ortalama karesel hata) minimize edilecek şekilde
ayarlanır. Bu sayede, ışıma örüntüsündeki 0‟lar girişimlere denk gelecek
şekilde ayarlanabilir. Ağırlık değerleri gelen sinyale göre şekillendirildiğinden,
girişim sinyallerinin bastırılmasının yanı sıra çoklu yol zayıflaması da
azaltılmaktadır.
İkinci yöntemde, tüm sinyal kaynaklarından anten dizisine iletilen işaretlerin
geliş açısı ilk olarak tanımlanmaktadır. Kompleks ağırlıklar, belirlenen açıya
maksimum yönelim, girişim sinyallerine ise 0 (sıfır) noktaları gelecek şekilde
ayarlanır [7]. Bu yöntemin, çoklu yol gibi nedenlerle çok fazla işaret geliş
açısının olduğu pratik uygulamalarda eksik kaldığı görülebilmekte ve
algoritmalar kestirimde hatalı olabilmektedirler.
Uyarlamalı anten sistemlerinin diğer bir önemli avantajı ise paylaşılabilir
spektruma sahip olmasıdır. Sistemin doğru takip ve güçlü girişim engelleme
kapasitesi sayesinde birçok kullanıcı aynı hücre içerisinde aynı kanalı
paylaşabilmektedirler. Hareketli kullanıcı olduğunda ise, ışıma örüntüsü bu
pozisyonu sabitlemek için sürekli olarak güncellenmektedir.
5.1.2. Anahtarlamalı anten dizileri
Anahtarlamalı anten sistemleri akıllı antenler içerisinde en basit olan anten
sistemleridir. Belirli yönlere doğrultulmuş sabit hüzmelerden meydana gelen
bir yapıdan oluşmaktadır. Böyle bir sistem, öncelikle sinyal gücünü ölçer,
önceden belirlenmiş olan sabit hüzmelerden birini seçer, mobil telefon
hareket ettikçe bir hüzmeden diğerine anahtarlayarak geçiş yapar. (Şekil 5.7)
Anahtarlamalı anten sistemleri, basit bir anahtarlama işlemi ile alınan en
güçlü sinyal doğrultusunda bulunan hüzmeyi seçer. Bu dizilerde kullanılan
elemanlar, besleme ağları (feed network) vasıtası ile alıcılara ve kaynaklara
bağlı olmak zorundadırlar.
35
Şekil 5.7. Anahtarlamalı anten dizilerinin hüzme yapısı [4]
Yönlü anten örüntüsünün, metalik yapılar ile ve tek bir elemanın fiziksel
dizaynından
faydalanılarak
oluşturulması
yerine,
anahtarlamalı
anten
sistemleri, tek bir anten elemanına göre daha ince bir yönlü anten örüntüsü
ve hüzmesi elde edebilecek şekilde birçok antenden faydalanarak bunların
çıkışlarının bileşimini kullanır.
5.2. Akıllı Antenlerin Yapısı
Akıllı anten sistemleri genel olarak, ışıma örüntüsünü şekillendiren anten
dizilerinden ve ışıma örüntüsünün şekillendirilmesini ve yönlendirilmesini
sağlayan işlemciden oluşmaktadır. Genel bir uyarlamalı anten sistemi Şekil
5.8‟de gösterilmiştir. Uyarlamalı anten sistemi Şekil 5.8‟de görüldüğü gibi dört
ana kısımdan oluşmaktadır:
 Anten dizisi
 RF dönüştürücüler
 Işın Şekillendirici
 Demodülatör
Anten dizisi N adet antenden oluşmaktadır. Her bir dizi elemanı kendine
gelen işaretleri bir araya getirerek tek bir çıkış işareti üretir. Bu işaret RF
(Radyo Frekans) Dönüştürücüler vasıtası ile sayısal işarete çevrilirler. Işın
Şekillendirici birim karmaşık ağırlık vektörlerini belirleyerek, her bir dizi
36
elemanından gelen işaretle bu ağırlık vektörlerini çarpar. Bu sayede anten
ışıma örüntüsü şekillendirilir. Daha önceki bölümlerde de görüldüğü üzere bu
anten
sistemleri
hem
büyütme
hem
de
bastırma
işlemini
gerçekleştirebilmektedir. Hüzme şekillendiriciden elde edilen ışıma örüntüsü,
istenilen kullanıcıdan gelen işaretin en büyük yapılması veya girişim
sinyallerinin bastırılması ölçütlerine göre optimize edilir.
N adet dizi elemanından oluşan bu akıllı anten sistemi en fazla N-1 adet
işareti ayırt edebilme özelliğine sahip olmasına karşın, bu değer çoklu yol
işaretlerinden dolayı değişkenlik göstermektedir.
Hüzme şekillendirme biriminde yapılan hesaplamalar bir tür sayısal sinyal
işleme işlemleridir. Bu birimde, üzerinde işaret geliş açısı kestirme
algoritmasının koştuğu bir işlemci tabanlı birim bulunmaktadır.
Şekil 5.8. Akıllı antenlerin genel yapısı [4]
Her bir kablosuz sistem alıcı ve verici kısımlarından oluşmaktadır. Ancak
akıllı anten sistemlerinde bu iki kısım daha büyük bir önem arz etmektedir.
Çünkü akıllı anten sistemlerinin çalışmasında alıcı ve verici kısımlarının
birbirleri ile koordineli çalışmaları önemlidir. Bu iki yapı alt kısımlarda
incelenmiştir.
37
5.2.1. Akıllı anten alıcıları
Şekil 5.9‟da bir akıllı anten sisteminin alıcı kısmı şematik olarak
gösterilmektedir. Anten dizisi M adet dizi elemanından oluşmaktadır. M adet
antenden alınan sinyaller tek bir sinyale birleştirilir ve bu sinyal alıcının diğer
kısımları için giriş sinyali olarak kabul edilir.
Şekil 5.9‟da görüldüğü üzere, akıllı antenlerin alıcı kısmı 4 birimden
oluşmaktadır. Anten dizisine ek olarak, bir RF birimi, bir RF hüzmesi
oluşturma birimi (beamforming network) ve bir DSP (sayısal sinyal işleme)
birimi bulunmaktadır [1].
Sayısal sinyal işleme biriminde karmaşık işlemlerin gerçekleştirilmesinden
ötürü bu birime olduğunca az yük bindirmek gerekmektedir. Bu nedenle
anten dizi elemanı sayısı minimumda tutulmalıdır. Burada bahsi geçen anten
dizileri bir, iki veya üç boyutlu olabilmektedir. Şekil 5.10, 4 farklı geometriye
sahip anten dizilerini göstermektedir. Burada gösterilen ilk iki yapı yatay
düzlemde hüzme şekillendirmek amacı ile kullanılan anten dizileridir. Bu
yapılardan ilki 4.Bölüm‟de de bahsedilen düzgün doğrusal anten dizisidir.
Elemanları arasındaki boşluk
olan bu düzgün doğrusal anten dizisi açısal
sektör içinde azimut açısında hüzme şekillendirme yapabilmektedir. Yapısal
basitliği nedeni ile bu yapı en çok tercih edilen anten dizisi yapısıdır. Şekil
5.10.b ise elemanları arasındaki boşluk
⁄
olan dairesel diziyi
göstermektedir. Bu anten dizisi yapısı tüm azimut açılarında hüzme
şekillendirme yapabilmektedir. Yatay düzlemdeki elemanları arasındaki
açıklık
, düşey düzlemde bulunan elemanları arasındaki açıklık
olan iki
boyutlu dizi ise Şekil 5.10.c‟de gösterilmiştir. Bu iki boyutlu anten dizisinin z
düzleminde
mesafe ile üst üste konulması ile 3 boyutlu kübik anten dizisi
oluşturulabilir. (Şekil 5.10.d)
38
Şekil 5.9. Akıllı anten alıcısı [1]
Şekil 5.10.
Anten dizileri (a) Doğrusal anten dizisi (b) Dairesel anten dizisi (c) 2-boyutlu
anten dizisi (d) 3-boyutlu anten dizisi [1]
39
5.2.2. Akıllı anten vericileri
Uyarlamalı işlemler sadece alma (uplink) yönünde uygulanır (mobil aygıttan
yer istasyonu yönünde). Bu durumda mobil birim daha az iletim gücü
harcamış olur ve bataryanın çalışma süresi artar. Ancak, verme (downlink)
yönünde hüzme şekillendirme uygulanmadığında, uyarlamanın faydaları
kısıtlı kalmaktadır. Bu nedenle uyarlamalı işlemler verme yönünde de
uygulanmıştır.
Şekil 5.11‟de verilen akıllı anten verici şeması incelendiğinde akıllı anten
alıcısı ile çok benzer bir yapıya sahip olduğu görülmektedir. Giden sinyal M
adet alıcı anten tarafından, hüzme şekillendirme birimince farklı ağırlık
katsayıları ile çarpılan M adet kola bölünmektedir. Verme yönündeki ışıma
örüntüsünü belirleyen bu ağırlıklar daha öncesinde sayısal sinyal işleme
birimi tarafından hesaplanmaktadır. Radyo birimi D/A (Dijital/Analog)
çeviricilerden oluşur. Pratikte antenler ve DSP Birimi gibi bazı parçalar
alıcıdaki birimler ile aynıdır.
Alma ve verme yönü arasındaki temek fark, kullanıcı terminalinde akıllı
antenlerin olmaması nedeni ile CSI (Kanal Durum Bilgisi) bilgisinin sınırlı
olmasıdır. TDM (Zaman Bölmeli Çoklama) Sistemi‟nde mobil kullanıcı ve yer
istasyonu zamanda ayrılmış aynı taşıyıcı frekansı kullanırlar. Bu durumda
eğer kanal, uplinkten downlinke iletim periyodu süresince değişmez ise,
uplinkte hesaplanan ağırlık katsayıları downlink için de optimum olacaktır.
Ancak bahsedilen bu durum genel olarak gerçekleşmeyecek bir durumdur.
Özellikle
mobil
kullanıcının
yüksek
hızla
hareket
ettiği
durumlarda
gerçekleşmesi oldukça güçtür. FDM (Frekans Bölmeli Çoklama) Sistemi
kullanıldığında ise, uplink ve downlink zamanda değil frekansta ayrılırlar. Bu
durumda frekansa bağımlı kanal tepkisi nedeni ile optimum ağırlık çarpanları
aynı olmayacaktır. Bu nedenle, downlink yönünde optimum ışıma örüntüsü
zordur ve uplink yönü ile aynı performansa erişilemez.
40
Bu durumun üstesinden gelebilmek için iki adet yaklaşım bulunmaktadır.
Bunlardan birincisi hiçbir CSI gerektirmeyen, Vasiyet Metodu (Devise
Method)‟dur. Ancak bu yöntem sınırlı performans kazancına sahiptir. İkinci
yöntem ise Yönlü Karşılıklılık Varsayımıdır. Bu varsayım son deneysel
sonuçlar ile daha da güçlendirilmiştir [4]. Uplink yönünde gelen sinyalin
doğrultusunun downlink yönündeki kullanıcıya iletilen sinyalin doğrultusu ile
aynı olması bu varsayımı açıklayan bir örnek olarak verilebilir. Bu yöntem
alınan kullanıcı sinyalinin geliş doğrultusunu kestirir. Bu doğrultu downlink
yönünde w1,…,wm ağırlık katsayıları kullanılarak uygulanır. Farklı sinyal
yollarındaki sönümlenmeler nedeni ile, downlink yönünün, uplink kanalının bir
süre içerisindeki ortalaması göz önünde bulundurularak seçilmesi tavsiye
edilmektedir [1].
Şekil 5.11. Akıllı anten için verici şeması [4]
41
5.3. Akıllı Anten Sistemlerinin Değerlendirilmesi
Akıllı anten sistemlerinin doğuşu, özellikle mobil iletişim başta olmak üzere
gerek sivil gerekse askeri alanda birçok değişime ve gelişime neden
olmuştur. Akıllı antenler ile birlikte birçok sistemin performansında artış
sağlanmasının yanı sıra maliyet faktörü de değişen önemli parametreler
arasındadır. Bu bölümde akıllı anten sistemlerinin faydalarından ve
sakıncalarından bahsedilmiştir.
5.3.1. Akıllı anten sistemlerinin faydaları
Akıllı antenlerin ortaya çıkması ile bu sistemler hücresel iletişim ağlarının
performansını büyük ölçüde etkilemişlerdir. Akıllı anten sistemlerinin
faydalarını ve mobil sistemlere getirdiği yenilikleri maddeleyecek olursak
bunlar;
 Kapasite Artışı
 Menzil Artışı
 Yeni Servisler
 Güvenlik
 SIR (Sinyal-Girişim Oranı) Artışı olarak sıralabilir.
Akıllı anten sistemlerinin mobil sistemlerin yayılması ve planlanması
açısından etkisi oldukça fazladır. Özellikle kapasite ve menzil artışı
sağlaması akıllı anten sistemlerine olan ilgiliyi arttırmaktadır. Yoğun nüfuslu
bölgelerde gürültünün asıl kaynağını diğer kullanıcılardan meydana gelen
girişimler oluşturmaktadır. Uyarlamalı sistemlerin geliştirilmesi ile birlikte,
alınan
sinyalin
güçlendirilmesinde
ve
girişim
sinyal
seviyelerinin
azaltılmasında ve bu sayede SIR‟da önemli ölçüde gelişme sağlanmıştır.
Ayrıca, akıllı antenler kullanıldığında, kullanıcı ile ilgili mekânsal bilgiye
ulaşılabilmektedir. Bu bilgi kullanılarak kullanıcının konumu diğer sistemlere
oranla daha kesin bir şekilde belirlenebilmektedir. Konumlandırma acil durum
42
çağrılarının
yerinin
tespit
edilmesi
gibi
birçok
değişik
uygulamada
kullanılabilmektedir. Bu sayede birçok yeni servis imkânı sağlanmaktadır.
5.3.2. Akıllı anten sistemlerinin sakıncaları
Yukarıda bahsedilen teknik birçok alanda gelişme sağlamasıyla birlikte akıllı
anten sistemlerinin bazı dezavantajları ve sakıncaları mevcuttur. Bunları
maddeleyecek olursak:
 Maliyet Faktörü
 Yapısal Karmaşıklık olarak sıralanabilirler.
Fiziksel olarak akıllı anten sistemlerinin görünüşü her ne kadar geleneksel
antenlere benzese dahi, akıllı anten sistemleri çok daha karmaşık bir yapıya
sahiptirler. Özellikle uyarlamalı diziler kullanılıyor ise hüzme şekillendirme
yoğun bir işlem gerektirmektedir. Bunun anlamı, akıllı anten sistemi
barındıran baz istasyonlarının çok güçlü nümerik işlemciler ve kontrol
sistemleri içermesi gerektiğidir. Bu nedenle hiç kuşkusuz akıllı anten sistemi
ile kurulan baz istasyonları geleneksel baz istasyonlarından çok daha
maliyetlidir.
43
6. GELĠġ AÇISI KESTĠRĠM YÖNTEMLERĠ
Geliş açısı kestirimi, bir sinyal kaynağından çıkan işaretin hangi doğrultuda
bulunduğunu tespit etmeye yarayan bir işlemdir. Radar antenleri için
bahsedecek olursak, radar anteninin gönderdiği işaret gönderilen doğrultuda
bulunan bir hedefe çarptıktan sonra tekrar radar anteni tarafından alınır. Geri
dönen bu işaretin hangi doğrultuda bulunduğunu tespit edebilmek için işte bu
işaret geliş açısı kestirimine ve buna bağlı olarak ta işaret geliş açısı kestirim
algoritmalarına ihtiyaç duyulmaktadır.
Sinyal kaynağının bir anten dizisi vasıtasıyla tespit edilebilmesi için birçok
yöntem mevcuttur. Kaynağın bir veya birden fazla olması durumuna göre
kullanılan teknikler farklılık göstermektedir.
Sadece bir adet kaynağın bulunduğu durumlarda, anten dizisinin iki elemanı
arasındaki faz farkını kullanabilir ve kolaylıkla geliş açısını kestirebiliriz. Bunu
yaparken antenler arasındaki mesafe farkından dolayı işaretin diğer elemana
daha gecikmeli ulaşması baz alınır.
Ancak ortamda birden fazla işaretin olduğu (radarın gönderdiği işaretlerin
birden çok hedeften geri döndüğünü düşünelim) ve bunların her bir elemana
farklı farklı zamanlarda ulaştığı düşünülecek olursa, bu teknik ile geliş açısı
kestirmek imkansız görünmektedir. Bu durumda devreye işaret geliş açısı
kestirim algoritmaları girmektedir. 1960‟lardan itibaren bu yönde çalışmalar
başlatılmıştır. Öncelikle ML (Maximum Likelihood Estimation) birden fazla
işareti inceleyebilecek şekilde Capon tarafından 1969 yılında yeniden
düzenlenmiştir [8].
1970‟lerin ortalarından itibaren alt uzay kestirim teknikleri kullanılmaya
başlanmıştır. Pisarenko (1973), gürültü içeren sistemi kovaryans yaklaşımıyla
ilk olarak modelleyerek bu alanda yeni bir devir açmıştır. Daha sonra ise
Schmidt
(1977)
tarafından
ortaya
atılan
MUSIC
(Multiple
Signal
44
Classification) yöntemiyle sensör dizilerinin geometrisinden bağımsız olarak
ölçüm modeli geliştirilmiştir [8].
MUSIC yönteminin oldukça sık kullanılması ve yüksek hassasiyetle yön
bulma özelliğine sahip olmasına karşın bütün parametre uzayını taramak
zorunda olması nedeniyle yüksek bir hesaplama ve veri depolama maliyeti
getirdiğinden bu sorunu aşmak için ESPRIT (Estimation of Signal Parameters
via Rotational Invariance Techniques) yöntemi geliştirilmiştir [9]. Bu yöntemle
bütün
parametre
uzayını
taramaya
gerek
kalmadan
hesaplama
yapılabilmekte, böylece MUSIC yönteminde karşılaşılan hesaplama ve
depolama maliyetleri büyük oranda azaltılmaktadır [8].
Yön bulma probleminde dikkat edilmesi gereken birkaç önemli husus vardır.
Bunlar; uygun dizi yapısı ve algılayıcı geometrisinin seçimi ile algılayıcıların
yapısıdır. Ayrıca uygun metodun seçilmesi de çok önemlidir. Değişik
kombinasyonlar üzerine yapılan birçok çalışma vardır, bu da yön bulma
probleminin karmaşıklığını kanıtlamaktadır [8].
İşaret geliş açısı kestirim algoritmaları sistemin maliyetini arttırabilecek en
önemli faktörlerden bir tanesi olarak düşünülebilir. Bunun için algoritmalardan
beklenen başlıca özellikler bulunmaktadır. Kullanılan algoritmanın çok fazla
hafıza gerektirmeyecek şekilde kurulması ve kapasite ihtiyacının en düşük
düzeyde tutulması ve işlemci üzerinde çok fazla aritmetik işlem yükü
oluşturmaması beklenmektedir.
Tercih edilen başlıca geliş açısı kestirim yöntemleri En Büyük Olabilirlik
Yöntemi (Maksimum Likelihood Method) ve alt uzay tabanlı algoritmalar olan
MUSIC ve ESPRIT algoritmalarıdır. MUSIC Algoritması ve ESPRIT
Algoritması çok isabetli kestirimler yapması nedeni ile ve özellikle ESPRIT
Algoritmasının işlemci üzerine çok az yük bindirmesi dolayısı ile son
zamanlarda en çok kullanılan işaret geliş açısı kestirim yöntemleridir.
45
6.1. MUSIC Algoritması
MUSIC algoritması, giriş kovaryans matrisinin öz değerlerini kullanan yüksek
çözünürlüklü
çoklu
işaret
sınıflandırma
yöntemidir
[10,11].
MUSIC
Algoritması ile gelen işaret sayısı, DOA, gelen işaretlerin güçleri ve
aralarındaki çapraz korelasyonlar, gürültü gücü kestirilebilir [11]. Standart
MUSIC (Çoklu İşaret Sınıflandırması) Algoritması Schmidt tarafından
geliştirilmiştir.
6.1.1. Veri modeli
İşaret geliş açısı kestirimi için öncelikle sinyal modeli oluşturulur. M adet
özdeş, izotropik antene sahip doğrusal bir anten dizisi için, uzak alan
bölgesinde bulunan D adet işaret kaynağından alınan toplam işaret aşağıdaki
bağıntı ile ifade edilebilir.
D 1
u  t   a i  Si  t   n  t 
(6.1)
i 0
Burada gelen işaret vektörü;
u  t   [a(1 )a(2 )
 s0 (t ) 
  n(t )  As(t )  n(t )
a(D 1 )] 

 sD 1 (t ) 
(6.2)
s(t )  [ s0 (t )
s1 (t )
sD1 (t )]T
(6.3)
n(t )  [n0 (t )
n1 (t )
nM 1 (t )]T
(6.4)
biçiminde gösterilebilir.
46
X ve A matrislerinin elemanları kompleks değerler içermektedir [11].
A matrisinin sütun vektörleri yöneltme vektörüdür. a(  i),  açısı için gelen i.
işaret için dizi yayılım vektörü veya yöneltme vektörünü gösterir [12].
Anten dizisinden alınan toplam işaret alınarak, giriş kovaryans matrisi elde
edilir. X vektörünün m*m kovaryans matrisi (S) şu şekilde ifade edilir.
Ruu  E[u  t  u  t   ]*  ARss A*   n2I
(6.5)

Ruu‟nun özdeğerleri {λ0,…, λM-1} şeklinde gösterilirler. Bu özdeğerler Eş.
6.6‟da gösterilen denklemi sağlarlar.
R UU  i I  0
i=0,1,…,M-1
(6.6)
Eş.6.6‟yı düzenleyecek olursak,
ARSS A*   n2I  i I  ARSS A*  (i   n2 )I  0
(6.7)
bulunur. Bu durumda ARSS A* nın özdeğerleri şu şekilde gösterilir.
vi  i   n2
(6.8)
A matrisi, lineer bağımsız yöneltme vektörlerinden oluştuğundan tam sütun
rankına sahiptir, Rss gelen işaretler çok ilintili olmadıkları müddetçe tekil
değildir [13].
Gelen işaret sayısı D, dizi eleman sayısı M‟den küçük olduğunda ARSS A*
matrisinin M-D adet özdeğeri 0 değerini alır. Bu durumda Eş.6.8‟e
47
baktığımızda i   n2 , i=D,D+1,…,M-1 olduğunu görüyoruz. “0” değerini alan
özdeğer sayısını K olarak gösterirsek, gelen işaret sayısı D,
DM K
(6.9)
olarak bulunur.
Belirli λi özdeğerine karşılık gelen özvektör qi Eş. 6.12‟yi sağlar.
(Ruu  i I)qi  0
(6.10)
ARSS A*qi  0
(6.11)
A*qi=0, i  D, D  1,..., M  1
(6.12)
Yani gelen işaretlere karşılık gelen yöneltme vektörleri Ruu‟nun öz
vektörlerine diktir [12].
{a(0 ),..., a(D1 )}  {qD ,..., qM 1}
(6.13)
Bu, MUSIC Algoritmasının temelini oluşturmaktadır. Ruu‟nun özvektörlerine
dik olan, anten dizisinin yöneltme vektörleri bulunarak, alınan işaretin
yöneltme vektörü kestirilebilir [13].
Ruu kovaryans matrisinin öz vektörleri, birbirine dik iki alt uzaydan ya işaret alt
uzayına ya da gürültü alt uzayına aittir. DOA‟ya karşı düşen yöneltme
vektörleri işaret alt uzayı içindedir ve dolayısıyla gürültü alt uzayına diktir.
Tüm dizi yöneltme vektörleri taranıp, içlerinden gürültü alt uzayına dik olanları
bulunarak DOA‟lar yani  l‟ler belirlenebilir. Gürültü alt uzayını taramak üzere,
gürültü öz vektörlerini içeren Vn matrisi oluşturulur [13].
vn  [qD qD1
qM 1 ]
Gelen işaretlerin DOA‟ları Eş. 6.14‟de gösterildiği gibi olmak üzere,
(6.14)
48
*
PMUSIC ( )  *a ( )a*( )
a ( )vnvna( )
(6.15)
uzay spektrumundaki tepelere karşı düşer.
MUSIC Algoritması adım adım şu şekilde özetlenebilir:
1) Alınan sinyaller ile oluşan toplam dizi çıkış vektörünü kullanarak R uu
kestirimi elde edilir.
2)
R uu matrisinin genelleştirilmiş öz değer ayrımı yapılır.
3)
R uu matrisinin M-D adet öz değeri 0 değerini alır. D  M  K formülü ile
gelen işaret sayısı bulunur.
4)
R uu matrisinin öz değerlerine karşılık gelen öz vektörleri bulunur.
5) Bu öz vektörlerden yöneltme vektörlerine dik olanları tespit edilir.
{a(0 ),..., a(D1 )}  {qD ,..., qM 1}
6) Tespit edilen bu vektörler kullanılarak gürültü alt uzayı oluşturulur.
vn  [qD qD1
qM 1 ]
7) Elde edilen veriler
*
PMUSIC ( )  *a ( )a*( )
a ( )vnvna( )
formülünde kullanılarak
geliş açıları kestirilir.
6.1.2. MATLAB simülasyonu
MUSIC Algoritması için MATLAB programı kullanılarak benzetim yapılmıştır.
Gerçekleştirilen benzetim için giriş parametreleri;
 Sinyal/gürültü oranı değeri (SNR),
 Dizi elemanı sayısı (M),
 Gelen işaret sayısı (D),
 Gelen işaretin açısı (θ)‟dır.
49
Program, giriş parametrelerini kullanarak, MUSIC algoritması yardımı ile geliş
açısı kestirimi yapmaktadır. Programa SNR değeri olarak girilen “0” en
yüksek gürültü seviyesini, “100” en düşük gürültü seviyesini, “40” ise gerçek
koşullardaki gürültü seviyesini temsil etmektedir. Benzetim sonucunda elde
edilen grafikler yardımı ile giriş parametrelerinin MUSIC algoritmasının
kestirim performansı üzerine etkisi incelenmiştir.
Dizi elemanı sayısının etkisi
Dizi elemanı sayısının MUSIC algoritmasının kestirim performansı üzerine
etkisini incelemek için aynı sinyaller farklı sayıda anten içeren dizilere
gönderilmiştir. Elde edilen sonuçlar Şekil 6.1 - 6.6‟da gösterilmiştir.
Şekil 6.1, 10 adet antenden oluşan bir anten dizisinin, Şekil 6.2 ise 15 adet
antenden oluşan anten dizisinin üzerine gelen 2 adet sinyal için geliş açısı
kestirim performansını göstermektedir.
Şekil 6.1. SNR=40, M=10, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu
50
Şekil 6.2. SNR=40, M=15, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu
Şekil 6.1 ve Şekil 6.2 incelendiğinde, 15 dizi elemanına sahip anten dizisinin
10 dizi elemanına sahip anten dizisinden daha net bir kestirim performansı
sergilediği ve Şekil 6.1‟de sapmanın daha fazla olduğu görülmektedir.
Şekil 6.3, 18 adet antenden oluşan bir anten dizisinin, Şekil 6.4 ise 25 adet
antenden oluşan anten dizisinin üzerine gelen 2 adet sinyal için geliş açısı
kestirim performansını göstermektedir.
51
Şekil 6.3. SNR=40, M=18, D=2, Gelen işaret açıları (θ)= 20, 25 için geliş açısı kestirim spektrumu
Şekil 6.4. SNR=40, M=25, D=2, Gelen işaret açıları (θ)= 20, 25 için geliş açısı kestirim spektrumu
Şekil 6.3 ve Şekil 6.4‟de gösterilen grafikler incelendiğinde, 25 elemanlı anten
dizisinin, 18 elemanlı anten dizisinden daha net sonuç verdiği görülmektedir.
Şekil 6.4‟deki iki tepe daha net bir şekilde birbirinden ayrılırken, Şekil 6.3‟deki
tepeler birbirine daha bitişik bir yapı göstermektedir. Bu, eleman sayısı fazla
olan antenin daha iyi bir geliş açısı kestirimi yaptığını göstermektedir.
52
Sapmalara baktığımızda yine Şekil 6.4‟de verilen grafikte daha az sapma
olduğu görülmektedir.
Dizi
elemanı
sayısının
kestirim
performansına
etkisinin
gösterilmesi
açısından bir benzetim daha gerçekleştirilmiştir. Şekil 6.5, 25 adet antenden
oluşan bir anten dizisinin, Şekil 6.6 ise 30 adet antenden oluşan anten
dizisinin üzerine gelen 4 adet sinyal için geliş açısı kestirim performansını
göstermektedir.
Şekil 6.5.
SNR=40, M=25, D=4, Gelen işaret açıları (θ)= 20, 25, 30, 35 için geliş açısı kestirim
spektrumu
Şekil 6.5 ve Şekil 6.6‟da gösterilen grafikler incelendiğinde; aynı sinyallerin
farklı sayıda dizi elemanına sahip diziler tarafından geliş açısı kestirimleri
görülmektedir. Şekil 6.5‟te yer alan grafiklerin tepe değerlerinde önemli
oranda bir düşme görülmektedir. Ancak Şekil 6.6‟da yer alan grafik daha
keskin ve net tepe noktalarına sahiptir.
53
Şekil 6.6. SNR=40, M=30, D=4, Gelen işaret açıları (θ)= 20, 25, 30, 35 için geliş açısı kestirim
spektrumu
30 dizi elemanı ile yapılan kestirim, 25 eleman ile yapılan kestirime oranla
daha iyi sonuç vermektedir. Anten sayısı arttıkça işaret geliş açısı kestirim
performansında iyileşme görülmektedir.
Gelen işaret sayısının etkisi
Gelen işaret sayısının MUSIC algoritmasının işaret geliş açısı kestirim
performansı üzerine etkisini incelemek için, aynı anten dizisi üzerine farklı
sayılarda sinyal gönderilerek benzetimler yapılmıştır. Elde edilen sonuçlar
Şekil 6.7 – 6.10‟da gösterilmiştir.
Şekil 6.7, 8 adet sinyalin, Şekil 6.8 ise 15 adet sinyalin 30 antenden oluşan
bir anten dizisi üzerine gönderilmesi sonucunda, MUSIC algoritmasının geliş
açısı kestirim sonuçları göstermektedir.
54
Şekil 6.7. SNR=40, M=30, D=8, Gelen işaret açıları (θ)= 5, 10, 15, 20, 25, 30, 35, 40 için geliş açısı
kestirim spektrumu
Şekil 6.8. SNR=40, M=30, D=15, Gelen işaret açıları (θ)= -40, -35, -30, -25, -20, -15, -10, -5, 5, 10, 15,
20, 25, 30, 35 için geliş açısı kestirim spektrumu
Yukarıda verilen grafikler ve tepe değerleri incelendiğinde, dizi üzerine gelen
sinyal sayısı arttığında dizinin geliş açısı kestirim performansının düştüğü
görülmektedir.
55
Şekil 6.9. SNR=40, M=25, D=4, Gelen işaret açıları (θ)= 20, 30, 40, 50 için geliş açısı kestirim
spektrumu
Şekil 6.9, 4 adet sinyalin 25 antenden oluşan dizinin işaret geliş açısı kestirim
sonuçlarını göstermektedir. Aynı anten dizisinin 4 adet sinyal kaynağı için
kestirim sonuçları Şekil 6.5‟de gösterilmişti. Bu iki grafik karşılaştırıldığında,
gelen işaret sayısının kestirim performansı üzerine etkisi daha net
görülmektedir. Bu iki şekilde verilen grafiklerin tepe değerleri ve sapmalar
incelendiğinde, aynı dizinin az sayıdaki sinyali daha doğru bir şekilde
kestirdiği görülmektedir.
İşaret geliş açısının etkisi
Gelen işaret açılarının MUSIC algoritmasının işaret geliş açısı kestirim
performansı üzerine etkisini incelemek için aynı anten dizisi üzerine aynı
sayıda ancak aralarındaki açı değeri farklı olan sinyaller gönderilerek
benzetimler yapılmıştır. Elde edilen sonuçlar Şekil 6.10 ve 6.11‟de
gösterilmiştir.
56
Şekil 6.10, aralarında 10‟ar derece olan 8 adet sinyalin, Şekil 6.11 ise
aralarında 5‟er derece olan 8 adet sinyalin 25 antenden oluşan bir anten
dizisi üzerine gönderilmesi sonucunda, MUSIC algoritmasının geliş açısı
kestirim sonuçlarını göstermektedir.
Şekil 6.10. SNR=40,M=25, D=8, Gelen işaret açıları (θ)= -10, 0, 10, 20, 30, 40, 50, 60 için geliş açısı
kestirim spektrumu
Şekil 6.11. SNR=40, M=25, D=8, Gelen işaret açıları (θ)= 5, 10,15, 20, 25, 30, 35, 40 için geliş açısı
kestirim spektrumu
57
Gelen işaretlerin aralarındaki açı farkının kestirim performansı üzerine etkisi
vardır. Gelen işaretlerin aralarındaki açı 10 derece iken yapılan kestirim
sonucunda elde edilen sonuçların gösterildiği Şekil 6.10 incelendiğinde, Şekil
6.11‟e göre daha net sonuçlar elde edildiği görülmektedir. Şekil 6.11‟de tepe
değerlerinde düşme ve grafiklerde birleşmeler görülmüştür. Bu durumda
gelen işaretlerin arasındaki açı değeri ne kadar fazla ise MUSIC
algoritmasının işaret geliş açısı kestirim performansı o kadar iyi olmaktadır.
Şekil 6.12. SNR=40, M=25, D=15, Gelen işaret açıları (θ)= -40, -35, -30, -25, -20, -15, -10, -5, 5, 10,
15, 20, 25, 30, 35 için geliş açısı kestirim spektrumu
MUSIC algoritmasının 15 adet sinyal için, geliş açısı kestirim performansını
göstermek açısından Şekil 6.12‟de sonuçları verilen kestirim benzetimi
yapılmıştır. 25 adet antenden oluşan bir diziye aralarında 5‟er derece fark
bulunan 15 adet sinyal gönderilmiştir. Elde edilen sonuçlar MUSIC
algoritmasının 25 dizi elemanı ile 15 adet sinyal kaynağını gayet net bir
şekilde kestirebildiğini göstermektedir.
58
SNR değerinin etkisi
SNR değerinin yani ortamdaki gürültü miktarının, MUSIC algoritmasının
işaret geliş açısı kestirim performansı üzerine etkisini incelemek için
minimum ve maksimum gürültülü ortamda kestirim benzetimleri yapılmıştır.
Şekil 6.13. SNR=0, M=10, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu

SNR=0 (Maksimum gürültü)
SNR değeri 0 iken, yani ortamdaki gürültü miktarı maksimum seviyede iken
iki farklı benzetim gerçekleştirilmiştir. Şekil 6.13‟deki grafik, 10 adet dizi
elemanından oluşan bir anten dizisine 2 adet sinyalin maksimum gürültülü
ortamda gönderilmesi ile gerçekleştirilen geliş açısı kestirim benzetiminin
sonuçlarını vermektedir. Bu grafik incelendiğinde tepe noktalarındaki açı
değerlerinin sapmaları ortalama gürültülü bir ortamdan (Şekil 6.1) çok da
fazla değildir. Ancak grafiğin sağ tarafında görülen tepe noktasına
bakıldığında sanki 60. dereceye yakın bir açıdan sinyal geliyormuş gibi
görülmektedir. Bu tepe noktası gürültü nedeni ile oluşan yanıltıcı bir tepe
noktasıdır.
59
Şekil 6.14. SNR=0, M=25, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu
Şekil 6.14‟deki grafik, 25 adet dizi elemanından oluşan bir anten dizisine 2
adet sinyalin maksimum gürültülü ortamda gönderilmesi ile gerçekleştirilen
geliş açısı kestirim benzetiminin sonuçlarını vermektedir. Elde edilen
sonuçlar incelendiğinde alınan işaretler sonucunda oluşan iki adet yüksek
tepe değerinin yanı sıra, gürültü nedeni ile oluşan bir çok tepe noktası
görülmektedir. Açı değerlerindeki sapma az olsa da, diğer tepe noktaları
yanıltıcı olmaktadır.
Şekil 6.13 ve Şekil 6.14 karşılaştırıldığında, maksimum gürültülü bir ortamda
aynı sinyalin geliş açısı kestirimi 25 dizi elemanı ile yapıldığında 10 dizi
elemanı ile yapılan kestirime göre daha iyi sonuç vermektedir.
 SNR=100 (Minimum gürültü)
MUSIC algoritmasının minimum gürültüde sinyal geliş açısı kestirim
performansını incelemek için SNR değeri 100 iken iki farklı benzetim
gerçekleştirilmiştir.
60
Şekil 6.15. SNR=100, M=10, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu
Şekil 6.16. SNR=100, M=5, D=2, Gelen işaret açıları (θ)= 20, 30 için geliş açısı kestirim spektrumu
Minimum gürültüde işaret geliş açısı kestiriminin daha kolay olduğu
görülmüştür. Bu nedenle daha az dizi elemanı ile kestirim yapılabilmektedir.
(Şekil 6.15 ve Şekil 6.16)
61
6.2. ESPRIT Algoritması
Sinyal parametrelerinin kestirimi için optimum çözümün çok boyutlu
doğasının, pratik yaklaşımlardaki ilerlemesinde yer alması açısından MUSIC
algoritmasının gelişimi önemli bir başarıdır [14].
Bu bölümde, DOA kestirimi için kullanılan yaklaşımlardan bir tanesi olan
ESPRIT
incelenmiştir.
ESPRIT,
veri
modelinden
doğru
bir
şekilde
yararlanması yönüyle MUSIC‟e benzer; ancak MUSIC ile kıyaslandığında
önemli avantajlar sunmaktadır. ESPRIT, diğer algoritmaların aksine anten
dizi geometrisi ve her bir anten elemanının özelliği ile ilgili (örneğin: örüntü,
anten kazancı) detaylı bir bilgi gerektirmez. Sonuç olarak, zor olan bu dizi
kalibrasyonu, yapılmasının mümkün olmadığı durumlarda gerekli değildir. Bu,
aynı zamanda, çoklu boyut parametre uzayları için depolama ihtiyacını da
ortadan kaldırır. ESPRIT, diğer algoritmalarda yer alan tarama işlemini
uygulamadığı için hesaplama açısından daha basittir. Ayrıca ESPRIT, sapma
ve çözünürlük yönünden geleneksel MUSIC algoritmasına oranla daha
gelişmiş bir performans gösterir [14].
ESPRIT, kök MUSIC ya da geleneksel MUSIC algoritmalarına göre dizi
geometrisindeki hatalara karşı daha az duyarlıdır [14].
ESPRIT, iki özdeş anten alt dizisi tarafından elde edilen veriler ile oluşturulan
sinyal alt uzayının ve yöneltme vektörünün belirlenmesine dayanmaktadır
[14].
Bu yöneltme vektörü, sensör çifti sayısına eşit boyutlara sahip kovaryans
matrisin öz değerlerinin hesaplanmasıyla bulunabilir. Ayrıca, bu işlem için,
dizi manifoldunun tüm karakteristik özelliğine ihtiyaç duyulmadığı önemle
vurgulanmalıdır [14].
62
6.2.1. Dizi geometrisi
MUSIC Algoritmasının en önemli buluşlarından bir tanesi, keyfi anten
dizilerini yönetme yeteneğine sahip olmasıydı. Daha önceki teknikler dizi
yönlü duyarlılık desen bilgisine ihtiyaç duymaktaydı ve anten tasarımcıları,
anten dizisini önceden belirlenmiş duyarlılık örüntüsüne göre inşa ediyordu
[14]. Schmidt yaptığı çalışmada, dizi kalibrasyonu ile analitik karmaşıklığın
azalmasını
sağlayarak
tasarımcıların
işlerini
kolaylaştırmıştır.
MUSIC
algoritması DOA kestirim probleminin çözümünün hesaplanmasındaki
karmaşıklığı azaltmamaktadır. Ancak MUSIC algoritması keyfi anten
dizilerine uygulanabilmekte ve bu tür diziler için yüksek çözünürlüklü
kestirime olanak sağlamaktadır [14]. ESPRIT keyfi anten dizilerinin en temel
özelliklerini korur, ama dizi üzerinde kısıtlama uygulayarak hesaplama
karmaşıklığında önemli ölçüde azalma sağlar [14]. Bu kısıtlamayı bir örnekle
açıklayalım.
Şekil 6.17‟de m/2 anten çiftlerinden oluşan keyfi bir düzlemsel anten dizisi
gösterilmiştir. Görüldüğü gibi, her bir çiftin elemanları özdeş hassasiyet
modeline sahiptir ve bilinen sabit bir yer değiştirme vektörü (Δ) kadar
birbirlerinden ayrılmışlardır. Her bir çiftin elemanlarının kazanç, faz ve
kutuplanma duyarlılığı özdeştir. Ayrıca, çiftlerin herhangi birisi için aynı
hassasiyete sahip olmaları gibi bir gereklilik yoktur [14].
Sensör kazançları ve faz desenleri özdeş olduğu için ve ESPRIT dizi
örüntüsü ile ilgili herhangi bir bilgiye ihtiyaç duymadığı için, yer değiştirme
vektörü (Δ) referans yön olarak kullanılır. DOA kestirimi geliş açısının, Δ
vektörünün doğrultusuna bağlı olarak bulunması ile gerçekleşir.
6.2.2. Veri modeli
Bu algoritma için, iki eşit boyutlu özdeş alt diziye ayrılabilen bir yapıya sahip
anten dizisi gerekmektedir. Bu alt dizilerin elemanları arasındaki mesafe
63
sabittir ve rotasyonel değildir. Böylece, dizi yer değiştirdiğinde değişmez ve
elemanlar eşit yer değiştirmeye sahip olurlar [13].
Şekil 6.17.
ESPRIT Dizi Geometrisi [9]
Anten dizisi, ZX ve ZY iki alt diziden oluşmaktadır ve bu iki alt dizi arasında Δ
kadar mesafe bulunmaktadır. i.nci anten çifti tarafından alınan sinyal
aşağıdaki şekilde gösterilir.
d
xi (t )   sk (t )ai (k )  nxi (t )
(6.16)
k 1
d
yi (t )   sk (t )e j cosk ai (k )  nyi (t )
k 1
Burada;
: Zx alt dizisinin 1. elemanı tarafından alınan k.ncı sinyal
(6.17)
64
k
: k. kaynağın geliş açısı
Δ
: yerdeğiştirme vektörü
d
: gelen işaret sayısı
nxi (.) , nyi (.) : Zx ve Zy alt dizisi için gürültü bileşenleri
ai ( k ) : dizi yönelticilik vektörü
  2 / 
olarak verilmektedir. İki alt dizi tarafından alınan veri vektörü aşağıdaki gibi
yazılabilir.
x(t )  As(t )  nx (t )
(6.18)
y(t )  AΦs(t )  ny (t )
(6.19)
Burada;
xT (t )  [ x1 (t ),..., xm (t )],
nTx (t )  [nx1 (t ),..., nxm (t )],
yT (t )  [ y1 (t ),..., ym (t )],
(6.20)
nTy (t )  [ny1(t ),..., n ym (t )],
olarak verilmektedir.
s(t); X alt dizisinin referans sensörü tarafından alınan gelen sinyal bilgisini
içeren dx1 boyutlu matristir.
Φ : çiftler arasındaki faz gecikmelerini gösteren dxd diyagonal birim matris
Φ  diag[e j 1 ,..., e j d ]
(6.21)
 k   sin k
(6.22)
65
Burada Φ Zx alt dizisinden Zy alt dizisindekilere kadar olan ölçümleri ifade
eden birim matristir [14].
Amxd matrisi, sütunları {a(k ), k  1,...., d} d adet dalga cephesi için yön
vektörlerinden oluşan yön matrisidir. Yön vektörleri:
a(k )  [a1 (k ),..., am (k )]T
(6.23)
ile verilir.
Bahsedildiği gibi, bunlar rastgele olabilirler. Burada daha şaşırtıcı olan ise dizi
manifoldu olarak adlandırılan a( ) bilgilerine ihtiyaç olmamasıdır [14].
DOA kestirim uygulamalarında, iki alt dizinin çıkışları eş zamanlı olarak
örneklenmek zorundadır. Aksi takdirde, alt dizi çıkış vektörleri arasında
tesadüfi faz kayması meydana gelir. Bu faz kayması da gözlenen yön ve
ESPRIT'in kullandığı DOA arasındaki ilişkiye zarar verir [14].
Toplam dizi çıkış vektörü z(t) Eş. 6.24‟de gösterilmiştir.
 x(t ) 
z (t )  
  As(t )  n z (t )
 y (t ) 
(6.24)
n z (t ) 
 A 
A
, n z (t )  


 AΦ 
n y (t ) 
(6.25)
Burada işaret alt uzayı x(t) ve y(t) alt dizilerinden oluşmaktadır. ̅ matrisi,
A‟yı bilmek durumunda kalmadan B‟nin köşegen elemanlarının kestirimlerini
elde etmek için kullanılan yapıdır.
6.2.3. TLS (Toplam En Küçük Kareler) ESPRIT algoritması
Bu bölümde TLS ESPRIT Algoritması anlatılmıştır. Sensör dizi çıkışının
Eş.6.24‟te verilen z(t) olduğunu ve hem ölçümlerin kovaryansı Rzz‟nin, hem
de gürültü korelasyonunun Σn bilindiğini varsayalım.
66
Dizi çıkışlarının eş zamanlı örneklenmesi ile aynı işaret alt uzayını tarayan E x
ve Ey vektörleri elde edilir.
İşaret alt uzayı, giriş kovaryans matrisi Rzz‟den elde edilebilir.
R zz  ASA*   2  n
(6.26)
D≤M koşulu sağlanıyor ise, R zz ‟nin M-D (2m-D) tane özdeğeri  n2 ‟ye eşittir. D
adet en büyük öz değerlere karşılık gelen D adet öz vektör Eş. 6.27‟de
verilen sinyal alt uzayını elde etmede kullanılır.
Es   n e1
ed 
(6.27)
R Es   R A
(6.28)
olduğu için;
Es  AT
(6.29)
eşitliğini sağlayacak tekil olmayan bir T matrisi vardır. Bunun yanı sıra, dizinin
değişmezlik yapısından dolayı Es vektörü, Ex 
mxd
ve E y 
mxd
olacak
şekilde ayrıştırılır [14].
Ex   AT 
Es     

Ey   AΦT
(6.30)
Bu durumda;
R Ex   R Ey   R A
(6.31)
elde edilir. E x ve E y aynı ortak sütun uzayını paylaştıklarından,
def
E xy  Ex | Ey 
(6.32)
67
matrisinin rankı D‟ye eşittir. Dolayısıyla, aşağıdaki eşitliği sağlayan, rankı D
olan tek bir F  C2dxd matrisi vardır ve F matrisi Exy „nin sıfır uzayını kapsar.
[Ex | Ey ]F  Ex Fx  Ey Fy
 ATFx + AΦTFy
(6.33)
0
def
Eş.6.33‟de verilen denklemi Ψ   Fx [Fy ]1 ile tanımlanan matris yardımı ile
tekrar yazılır [14]:
ATΨ  AΦT
(6.34)
 ATΨ-1  AΦ
(6.35)
elde ederiz.
A matrisinin tam ranka sahip olduğu varsayılırsa, bu durumda,
TΨT1  Φ
(6.36)
bulunur [14].
Böylece, Ψ ‟nın öz değerleri Φ matrisinin köşegen elemanlarına eşit olmak
zorundadır ve T matrisinin sütunları Ψ matrisinin öz vektörleridir. Bu ESPRIT
algoritmasının gelişmesindeki en önemli ilişkidir [14]. Sinyal parametreleri Ψ
matrisinin öz değerlerinin lineer olmayan fonksiyonu şeklinde elde edilir.
Sonlu sayıda gürültü ölçümlerinin elde edilebilir olduğu pratikte, Eş. 6.33
sağlanamaz. Bu gibi durumlarda en çok başvurulan yöntem En Küçük
Kareler (LS) kriteridir. Bu yöntem ile elde edilen çözüm şu şekildedir.
ˆ  (E *E )1 E *E
Ψ
x
x
x
y
(6.37)
68
Ψ elde edildikten sonra Φ matrisinin köşegen elemanlarına karşılık gelen öz
değerler hesaplanır. Bu öz değerler Eş. 6.22 ve Eş. 6.25‟de kullanılarak
işaret geliş açısı yönü kestirilir.
Ancak burada Ex ve Ey vektörleri eşit gürültüye sahip oldukları için en küçük
kareler kriteri (LS) yetersiz kalmaktadır. Bu nedenle hem E x hem de Ey
vektörlerindeki gürültüyü göz önünde bulunduran toplam en küçük kareler
kriteri (TLS)
tercih edilmelidir. Eş. 6.31‟de verilen sıfır matrisi yerine
Frobenius Normu kullanılır. Bu kriter [15]‟te daha detaylı bir şekilde
anlatılmıştır.
TLS-ESPRIT Algoritması adım adım şu şekilde özetlenebilir.
8) Alınan sinyaller ile oluşan toplam dizi çıkış vektörünü kullanarak R zz
kestirimi elde edilir.
R zz matrisinin genelleştirilmiş öz değer ayrımı yapılır.
9)
Rzz E   n EΛ
(6.38)
Λ  diag{1 ,..., 2m}, 1  ...  2m öz değerler
E  [e1 |
| e2 m ] öz vektörler
10) En küçük öz değer min kullanılarak gelen işaret sayısı kestirilir ve D=M-K
olarak bulunur.
11) İşaret alt uzay kestirimi Sˆz  (Es ) elde edilir ve Ex ve Ey ayrışımı yapılır.
def
Es   n [e1 |
 Ex 
| ed ]   
 Ey 
(6.39)
12) 1  ...  2 dˆ olmak üzere öz değer ayrışımı yapılır.
*
XY
E E XY
E*X 
  *  E X | EY   EΛE*
 EY 
def
ˆ ˆ
ve E dxd boyutlarında alt matrislere bölünür.
(6.40)
69
def
 E11
E21
E
E12 
E22 
(6.41)
1
13) Ψ  E12E 22 vektörünün öz değerleri hesaplanır.
ˆk  özdeğer (E12E1 ), k  0,....., Dˆ  1
22
(6.42)
14) Bulunan öz değerler Eş. 6.42‟de yerine konularak DOA hesaplanır.
ˆk  sin 1{c
arg(ˆk )
}
w0 
(6.43)
6.2.4. ESPRIT simülasyonu
SNR değeri, dizi elemanı sayısı, gelen işaret sayısı ve gelen işaret açısı
değeri programa girilerek, ESPRIT Algoritması ile işaret geliş açısı
kestiriminin bir benzetimi gerçekleştirilmiştir. Elde edilen sonuçlar sırası ile
aşağıda verilmiştir.
İşaret Sayısının Performansa Etkisi (1)
Anten sayısı 15, örnekleme sayısı 100 ve SNR değeri 40 olarak seçilmiş ve
bu değerler sabit tutularak anten dizisine gelen işaret sayısı değiştirilmiştir.
Bu benzetimde ESPRIT Algoritmasının kestirim performansının gelen işaret
sayısı ile nasıl değiştiği gözlenmiştir. Elde edilen sonuçlar Çizelge 6.1‟de
gösterilmiştir. Çizelgeden de görüldüğü gibi gelen işaret sayısı arttıkça
kestirimdeki hata oranı da artmaktadır. Tek bir sinyal geldiğinde %0,0225 gibi
bir hata oranı ile karşılaşılırken, diğer parametreleri sabit tutarak gelen işaret
sayısını 5‟e çıkardığımızda, elde edilen kestirim sonuçlarının ortalama hata
yüzdesi %30‟lara kadar varmaktadır. Bu da gelen işaret sayısı arttıkça dizinin
kestirim performansının azaldığını göstermektedir.
İşaret Sayısının Performansa Etkisi (2)
Anten sayısı 70, örnekleme sayısı 500 ve SNR değeri 40 olarak seçilmiş ve
bu değerler sabit tutularak anten dizisine gelen işaret sayısı değiştirilmiştir..
70
Elde edilen sonuçlar Çizelge 6.2‟de gösterilmiştir. İlk benzetimde de
görüldüğü gibi gelen işaret sayısı arttıkça kestirimdeki hata oranı da
artmaktadır. Sekiz adet sinyal geldiğinde %0,10816 gibi bir hata oranı ile
karşılaşılırken, aynı şartlar altında gelen işaret sayısını 12‟ye çıkardığımızda,
elde edilen kestirim sonuçlarının ortalama hata yüzdesi %20‟lere kadar
varmaktadır. Bu da ilk benzetimden elde edilen sonuçlarda olduğu gibi gelen
işaret
sayısı
arttıkça
dizinin
kestirim
performansının
azaldığını
göstermektedir.
SNR Değişiminin Performansa Etkisi
İkinci benzetimde SNR değerinin kestirim performansı üzerine etkisi
gözlenmiştir. SNR değeri dışında kalan diğer parametreler sabit bir değer
seçilmiştir. Burada anten sayısı 15, örnekleme sayısı 50, gelen işaret sayısı
3 olarak belirlenmiş ve gelen işaretlerin geliş açıları sırası ile 20, 50 ve 70
derece olarak seçilmiştir. Bu parametreler sabit iken SNR değeri kademe
kademe arttırılarak kestirim performansı gözlenmiştir. Elde edilen sonuçlar
Çizelge 6.3‟de verilmiştir. Bu sonuçları değerlendirdiğimizde görülmektedir ki;
algoritma yüksek SNR değerlerinde oldukça keskin doğrulukta hatta tam
sonuçlar verirken düşük SNR değerlerinde kestirim performansı oldukça
düşmektedir.
Anten Sayısının Performansa Etkisi
SNR değişiminin etkisini gözlemledikten sonra, sonuçları Çizelge 6.4‟de
verilmiş olan bu benzetim ile değişen anten sayısının kestirim performansı
üzerine etkisi incelenmiştir. Çizelge 6.4‟deki sonuçlardan da anlaşılacağı gibi
anten sayısı arttıkça kestirim performansı artmakta ve daha kesin sonuçlar
elde edilmektedir. Ancak dizi elemanı sayısının yani anten sayısının artması,
sistem performansında düşüşe, kapasite ihtiyacında artışa neden olacaktır.
71
Örnekleme Sayısının Performansa Etkisi
Son olarak örnekleme sayısının dizinin kestirme performansı üzerine nasıl bir
etkisi olduğunu inceleyelim. Dizi elemanı sayısı (12) ve SNR değeri (40) sabit
tutularak, farklı örnekleme sayıları ile yapılan kestirim sonuçları Çizelge
6.5‟de gösterilmiştir. Bu sonuçları daha detaylı şekilde incelediğimizde
görülmektedir ki; örnekleme sayısındaki artış dizinini kestirim performansını
arttırmaktadır.
Örneğin örnekleme sayısını 50 seçerek yapılan kestirim sonucunda elde
edilen hata oranı ortalama değeri %5,93 iken örnekleme sayısı 400 olarak
seçildiğinde hata oranı ortalama değeri %1,3 olarak görülmektedir. Bu
sonuçlar göstermektedir ki; yüksek örnekleme değerleri için elde edilen
kestirim değerleri çok daha keskin olmaktadır.
72
Çizelge 6.1.
ESPRIT Benzetim Sonuçları – İşaret Sayısının Etkisi
ĠĢaret
Sayısı (D)
ĠĢaret
GeliĢ Açısı
(DOA)
1
ESPRIT
Kestirim
Farkı
% Hata
Ort. Hata
Oranları
(%)
20
19,9955
0,0045
0,0225
0,0225
-15
-14,9985
-0,0015
0,0100
20
20,0220
-0,0220
0,1100
-15
-15,2871
0,2871
1,9140
10
11,2147
-1,2147
12,1470
30
29,3755
0,6245
2,0817
-25
-20,9273
-4,0727
16,2908
-15
-23,9251
8,9251
59,5007
20
18,4694
1,5306
7,6530
70
68,7621
1,2379
1,7684
-25
-21,7680
-3,2320
12,9280
-15
-6,2409
-8,7591
58,3940
20
32,7184
-12,7184
63,5920
50
55,9258
-5,9258
11,8516
70
68,1848
1,8152
2,5931
2
3
0,06
4
5
5,3809
21,3032
29,8717
73
Çizelge 6.2.
ĠĢaret
Sayısı (D)
ESPRIT Benzetim Sonuçları – İşaret Sayısının Etkisi (2)
ĠĢaret
GeliĢ
Açısı
(DOA)
ESPRIT
Kestirim
Farkı
% Hata
-60
-59,9919
-0,0081
0,013502
-40
-39,9624
-0,0376
0,094088
-20
-20,0751
0,0751
0,3741
10
9,9649
0,0351
0,352236
30
30,0817
-0,0817
0,27159
50
50,0937
-0,0937
0,18705
70
70,0769
-0,0769
0,10974
80
80,3073
-0,3073
0,38266
-70
-70,2045
0,2045
0,292143
-60
-59,6762
0,3238
0,539667
-50
-46,3206
3,6794
7,3588
-40
-37,2889
2,7111
6,77775
-30
-25,4928
4,5072
15,024
10
14,4258
4,4258
44,258
20
26,4225
6,4225
32,1125
30
38,6006
8,6006
28,66867
40
46,5292
6,5292
16,323
50
49,9567
0,0433
0,0866
Ort. Hata
Oranları
(%)
0,10816
8
10
15,14411
74
Çizelge 6.2.
ĠĢaret
Sayısı (D)
12
ESPRIT Benzetim Sonuçları – İşaret Sayısının Etkisi (2) (Devam)
ĠĢaret
GeliĢ
Açısı
(DOA)
ESPRIT
Kestirim
Farkı
% Hata
-80
-79,4488
0,5512
0,689
-70
-66,2100
3,79
5,414286
-60
-52,2203
7,7797
12,96617
-50
-31,1204
18,8796
37,7592
-40
-29,9036
10,0964
25,241
-30
-27,4654
2,5346
8,448667
10
-0,2468
10,2468
102,468
20
13,5944
6,4056
32,028
30
31,4791
1,4791
4,930333
40
44,5406
4,5406
11,3515
50
46,2381
3,7619
7,5238
60
60,2177
0,2177
0,362833
Ort. Hata
Oranları
(%)
20,76523
75
Çizelge 6.3.
ESPRIT Benzetim Sonuçları – SNR Değişiminin Etkisi
GeliĢ Açıları ve Hata Oranları
SNR
°20
% Hata
°50
% Hata
°70
% Hata
Ort. Hata
Oranı (%)
0
6,7192
66,4040
18,0530
63,8940
62,6388
10,5160
46,9380
10
19,6904
1,5480
30,8616
38,2768
60,4863
13,5910
17,80527
20
5,4583
72,7085
22,2384
55,5232
64,0999
8,4287
45,55347
30
20,7067
3,5335
55,9959
11,9918
62,7978
10,2889
8,604719
40
19,9514
0,2430
49,3487
1,3026
69,3562
0,9197
0,821771
60
20,0143
0,0715
50,4512
0,9024
69,9548
0,0646
0,346157
80
19,9993
0,0035
50,0175
0,0350
70,0247
0,0353
0,024595
100
20,0000
0,0000
50,0010
0,0020
69,9985
0,0021
0,001381
Çizelge 6.4.
ESPRIT Benzetim Sonuçları – Anten Sayısının Etkisi
GeliĢ Açıları ve Hata Oranları
Ort. Hata
% Hata Oranı (%)
Anten
Sayısı
°20
% Hata
°50
% Hata
°70
3
8,7895
56,0525
67,367
34,734
23,8903
65,8710
52,21917
5
10,0721
49,6395
60,9354
21,8708
37,8371
45,9470
39,15243
7
15,9619
20,1905
32,711
34,578
48,3267
30,9619
28,57679
10
21,5357
7,6785
64,0079
28,0158
43,4946
37,8649
24,51972
15
20,1447
0,7235
69,7955
39,591
50,751
27,4986
22,60436
20
19,8011
0,9945
49,6794
0,6412
69,4519
0,7830
0,806233
50
19,9983
0,0085
49,9796
0,0408
69,9268
0,1046
0,05129
100
20,000
0,0000
50,0074
0,0148
70,0084
0,0120
0,008933
76
Çizelge 6.5.
ESPRIT Benzetim Sonuçları – Örnekleme Sayısının Etkisi
GeliĢ Açıları ve Hata Oranları
Ort. Hata
Oranı (%)
Örnekleme
Sayısı (K)
°20
% Hata
°50
% Hata
°70
%
Hata
5
16,6969
16,5155
39,1075
21,785
58,4525
16,4964
18,26564
20
20,1479
0,7395
42,2152
15,5696
64,7723
7,4681
7,925748
50
21,6502
8,251
51,8025
3,605
74,1725
5,9607
5,938905
100
19,1742
4,129
51,7618
3,5236
75,5674
7,9534
5,20201
400
20,0752
0,376
49,1999
1,6002
71,4071
2,0101
1,328781
800
20,3135
1,5675
50,8691
1,7382
71,5336
2,1909
1,832186
1000
19,8143
0,9285
50,1734
0,3468
70,2539
0,3627
0,546005
77
7. SONUÇ VE ÖNERĠLER
Bu çalışmada doğrusal anten dizileri kullanılarak işaret geliş açısı kestirimi
benzetimi yapılmıştır. Bu amaçla alt uzay yaklaşımları, MUSIC ve ESPRIT
algoritmaları incelenmiş ve bu algoritmaların hata analizleri yapılmıştır. Bu iki
alt uzay yaklaşımını kullanan algoritma kullanılarak gerçekleştirilen kestirim
sonuçları değerlendirilerek performans analizi yapılmıştır. Doğrusal anten
dizileri kullanılarak, SNR oranının, gelen işaret sayısının, örnekleme
sayısının ve dizi elemanı sayısının algoritmaların performansı üzerindeki
etkisini gözlemlemek için kestirim benzetimleri gerçekleştirilmiştir. Elde edilen
sonuçlara bakarak MUSIC ve ESPRIT algoritmalarının performansları
değerlendirilmiştir.
Bölüm 6‟da elde edilen sonuçlar incelendiğinde görülmüştür ki; MUSIC
algoritması ile yapılan kestirimler düşük SNR oranlarında ESPRIT algoritması
ile elde edilen verilerden çok daha keskin ve hata oranı düşüktür. Ancak
bunun yanı sıra MUSIC algoritmasının, tüm uzayı taramak zorunda olmasının
beraberinde getirmiş olduğu işlem yükü ve kapasite ihtiyacı özellikle maliyet
anlamında büyük dezavantaj yaratmaktadır. ESPRIT algoritmasının düşük
SNR oranlarında ortaya çıkan yüksek hata oranlarına karşılık tüm uzayı
tarama gerekliliğinin olmaması ile birlikte çok fazla işlem yükü getirmemesi,
kapasite ihtiyacı doğurmaması kullanıcı için avantaj olarak görünmektedir.
Bu çalışmada benzetimi gerçekleştirilen bu iki algoritma ile gerçek ortamda
kestirim yapılabilmesi için, özdeş, yönsüz antenlerden oluşan anten dizisine,
yazılımın üzerinde koşacağı donanımlara, verilerin kayıt edileceği depolama
birimine ihtiyaç vardır. Ayrıca çoklu yol zayıflamasına neden olacak
engellerin olmadığı açık bir alanda çalışılması önerilebilir. Aksi takdirde
yansıyan sinyallerin de sinyal kaynağı olarak algılanması ihtimali ortaya
çıkacak ve algoritma sonuçlarında hata oluşacaktır.
78
KAYNAKLAR
1.
Stevanovic, I., Skrivervik, A. and Mosig, J.R., “Smart Antenna Systems
for
Mobile
Communications”,
Final
Report,
Laboratoire
d’Electromagn´etisme et d’Acoustique Ecole Polytechnique
Federale De Lausanne, Lausanne, 8-25, (2003).
2.
Cheng, D.K.,
“Fundamentals of Engineering Electromagnetics”,
Addison-Wesley Publishing Company, Inc., USA, 228-268, (1994).
3.
Balanis, C.A., “Antenna Theory - Analysis and Design 2nd ed”, John
Willey & Sons Inc., USA (1997).
4.
Balanis, C. A., Panayiotis I. Ionnides, “Introduction to Smart Antennas”,
Morgan&Claypool, USA (2007).
5.
“Smart Antenna Systems”, The International Engineering
Consortium, http://www.iec.org, (Erişim tarihi: Mart 2011)
6.
Moernaut, G.J.K. ve Orban, D., “The Basics of Antenna Arrays”, Orban
Microwave Products, www.orbanmicrowave.com.
7.
Afacan, E., Aksoy, E., “Pattern Nulling in Linear Arrays with Fewer
Elements by Using Differential Evolution Algorithm”, Eleco, Bursa, 260265, (2007).
8.
Elma, İ., “Anten Dizilerini Kullanarak Sinyal Kaynakları Tespiti”, Yüksek
Lisans Tezi, Zonguldak Karaelmas Üniversitesi Fen Bilimleri
Enstitüsü, Zonguldak, (2007).
9.
Roy, R., Kailath, T., “ESPRIT – Estimation of Signal Parameters via
Rotational Invariance Techniques”, IEEE Transactions on Acoustics,
Speech and Signal Processing, 37:7, (1989).
10. Schmidt, R. O., “Multiple Emitter Location and Signal Parameter
Estimation”, Proc. Of RADC Spectrum Estimation Workshop, Griffiss
AFB, NY, 243-258, (1979).
11. Schmidt, R. O., “Multiple Emitter Location and Signal Parameter
Estimation”, IEEE Trans. on Antennas and Propagation, AP-34:3,
276-281, (1986).
12. Karabıyık, G. N., Işık, C., “MUSIC Algoritması ile DOA Kestirimi için
Düzgün Aralıklı Dairesel Anten Dizisi Optimizasyonu”, URSI Türkiye 3.
Bilimsel Kongresi, 508-510, (2006).
79
13. Karabıyık, G. N., “Kablosuz Algılayıcılarda MUSIC Algoritması ile DOA
Kestirimi”, Yüksek Lisans Tezi, Ġstanbul Teknik Üniversitesi Fen
Bilimleri Enstitüsü, İstanbul, (2007).
14. Roy, R.H., “ESPRIT - Estimation of Signal Parameters via Rotational
Invariance Techniques”, Ph. D. Dissertation. Stanford Univ., Stanford,
CA, (1987).
15. Golub, G. H. and Van Loan, C. F., “Matrix Computations”, Baltimore.
MD: Johns Hopkins University Press, (1984).
80
ÖZGEÇMĠġ
ĠletiĢim Bilgileri ve KiĢisel Bilgiler
Ad-Soyad
: Tuna ORUL
Uyruk
: T.C.
Doğum yeri ve tarihi
: Ankara, 12/10/1986
Medeni Hali
: Bekar
Telefon
: +(90) 5302860269
E-mail
: tunaorul24@hotmail.com; torul@csgb.gov.tr
Eğitim Bilgileri
Derece
Eğitim Birimi
Mezuniyet
Yüksek Lisans
Gazi Üniversitesi/ Elektrik-Elektronik Müh.
2012
Lisans
Gazi Üniversitesi/ Elektrik-Elektronik Müh.
2009
Lise
Dr. Binnaz Ege Dr. Rıdvan Ege A.L.
2004
ĠĢ Deneyimi
Yer
Görev
2010 -
Çalışma ve Sosyal Güvenlik Bak.
İş Sağlığı ve Güvenliği Merkezi
Müdürlüğü
İSG Uzman Yard.
09-12/2010
ELSİS A.Ş., Ankara
Mühendis
Yabancı Dil
İngilizce: İleri Seviye
İspanyolca: Başlangıç Seviyesi
Kazandığı Burs ve Ödüller
Yıl
Yer
Burs
10/2010-06/2011
TUBİTAK
Yurtiçi Y. Lisans Burs Programı
Hobi ve Ġlgi Alanları
Kitap okumak, müzik dinlemek, model araba koleksiyonu, karting yapmak
Download